首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Inductively coupled plasma etching of HgCdTe   总被引:3,自引:0,他引:3  
The high-density inductively coupled plasma (ICP) etching technique has been applied to HgCdTe. The HgCdTe etch rate was studied as a function of key process variables commonly used in high-density plasma etching: chamber pressure, direct current (DC) bias, and ICP-source power. Mesa profiles were characterized using scanning electron microscopy (SEM), and the profiles for the process conditions used were found to be compatible with fabrication procedures for HgCdTe infrared focal-plane arrays (FPAs). The etch uniformity was measured to be better than 5% over a diameter of 6-in.  相似文献   

2.
It has been recently reported (J.R. Meyer, F.J. Bartoli, C.A. Hoffman, and L.R. Ram-Mohan,Phys. Rev. Lett. 64, 1963 [1990]) that novel electronic and optical effects are anticipated in nanometer scale features of narrow band gap semiconductors such as mercury cadmium telluride (MCT). These efforts could lead to the creation of non-linear optical switches, high efficiency infrared lasers, and unique nanoelectronic devices. This work reports on the first realization of MCT nanostructures through the application of e-beam lithography and reactive ion etching with an electron cyclotron resonance (ECR) microwave plasma source. It is shown that the low energy ions produced by an ECR system can etch MCT with good selectivity over an e-beam resist mask and with high resolution. Using these fabrication methods, 40–70 nm features with aspect ratios of 3–5∶1 and sidewall angles greater than 88° have been demonstrated. Qualitative investigations of some of the etch mechanisms of this technique are made, and results suggest a desorption limited process. *Code 6675,Code 5613,Code 6864  相似文献   

3.
The nondestructive optical characterization technique of laser beam induced current (LBIC) has been used to illustrate the effects of reactive ion etching (RIE) of mid-wavelength infrared n-type HgCdTe. RIE may be used as a method of n-p junction formation, as a means of forming n+ ohmic contacts to wider bandgap HgCdTe, or for mesa isolation etching of epilayers for HgCdTe detectors and emitters. Along with experimental measurements of the LBIC phenomena, this paper introduces the simulation of LBIC signals using a commercial semiconductor device modeling package. A number of LBIC maps are presented for different wafer processing conditions, with the results being explained using the simulation software. The experimental and calculated results bring to light a number of previously unreported characteristics associated with the LBIC phenomena, including the effect of junction depth, temperature, and grading of the junction region. In addition to the LBIC technique confirming the presence of an n+ region after RIE processing, it also provides information regarding the depth of the n+ region and lateral extent of the doping.  相似文献   

4.
Two-dimensional, midwavelength infrared (MWIR) HgCdTe detector arrays have been fabricated using reactive ion etching (RIE). Detector-to-detector uniformity has been studied in the devices fabricated with CdTe- and ZnS-passivation layers. Mapping of the doping profile, passivant/HgCdTe interface electrical properties, and diode impedance-area product (R0Aj) in a two-dimensional array of diodes has been carried out. Temperature and perimeter/area dependence of the dark current are studied to identify the bulk and surface current components. Maximum R0Aj=2×107 Θcm2 was achieved in CdTe-passivated, 200×200 μm2 diode arrays. It demonstrates that CdTe-passivated, RIE-processed HgCdTe is a feasible technology.  相似文献   

5.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

6.
We report results on a study on inductively coupled plasma (ICP) etching of HgCdTe using a CH4-based mixture. Effects of key process parameters on etch rates were investigated and are discussed in this article in light of plasma parameter measurements, performed using a Langmuir probe. Process parameters of interest include ICP source power, substrate power, pressure, and CH4 concentration. We show that the ICP etching technique allows us to obtain etch rates of about 200 nm/min, which is high enough to use this technique in a manufacturing process. We also observe that the ion bombardment has a strong influence on HgCdTe etch rate. Finally, we show that this etch rate is modified by the substitution of methane for hydrogen.  相似文献   

7.
Inductively coupled plasma (ICP) using hydrogen-based gas chemistry has been developed to meet requirements for deep HgCdTe mesa etching and shallow CdTe passivation etching in large format HgCdTe infrared focal plane array (FPA) fabrication. Large format 2048×2048, 20-μm unit-cell short wavelength infrared (SWIR) and 2560×512, 25-μm unit-cell midwavelength infrared (MWIR) double-layer heterojunction (DLHJ) p-on-n HgCdTe FPAs fabricated using ICP processing exhibit >99% pixel operability. The HgCdTe FPAs are grown by molecular beam epitaxy (MBE) on Si substrates with suitable buffer layers. Midwavelength infrared detectors fabricated from 4-in. MBE-grown HgCdTe/Si substrates using ICP for mesa delineation and CdTe passivation etching demonstrate measured spectral characteristics, RoA product, and quantum efficiency comparable to detectors fabricated using wet chemical processes. Mechanical samples prepared to examine physical characteristics of ICP reveal plasma with high energy and low ion angle distribution, which is necessary for fine definition, high-aspect ratio mesa etching with accurate replication of photolithographic mask dimensions.  相似文献   

8.
A long-wavelength infrared (LWIR) HgCdTe photodiode fabrication process has been developed based on reactive ion etching (RIE) plasma-induced p-to-n type conversion for junction formation. The process has been successfully applied to produce devices using both vacancy-doped and gold-doped liquid phase epitaxy (LPE)-grown p-type HgCdTe material with a cut-off wavelength of 10 μm at 77 K. The fabrication procedure is outlined and results are presented on completed devices that indicate the effect of variations in processing parameters. The fabricated devices have been characterized by measurements of the diode dark I-V characteristic over the temperature range 20–200 K, as well as by spectral responsivity measurements. Analysis of the device I-V data, variable area data, and modeling of diode dark current mechanisms indicates that gold-doped material results in higher performing devices compared to vacancy-doped material. Device performance is found to be strongly affected by trap-assisted tunneling currents and surface leakage currents at zero bias. Nonoptimum surface passivation is likely to be the major factor limiting performance at this early stage of device technology development.  相似文献   

9.
Progress in MOVPE of HgCdTe for advanced infrared detectors   总被引:1,自引:0,他引:1  
This paper reviews the significant progress made over the past five years in the development of metalorganic vapor phase epitaxy (MOVPE) for the in situ growth of HgCdTe p-n junction devices for infrared detector arrays. The two basic approaches for MOVPE growth of HgCdTe, the interdiffused multilayer process (IMP), and direct alloy growth (DAG) are compared. The paper then focuses on the progress achieved with the IMP approach on lattice-matched CdZnTe substrates. The benefits of the precursors ethyl iodide (EI) and tris-dimethylaminoarsenic (DMAAs) for controlled iodine donor doping and arsenic acceptor doping at dopant concentrations relevant for HgCdTe junction devices are summarized along with the electrical and lifetime properties of n-type and p-type HgCdTe films grown with these precursors. The relative merits of the two CdZnTe substrate orientations we have used, the (211)B and the (100) with 4°–8° misorientation are compared, and the reasons why the (211)B is preferred are discussed. The growth and repeatability results, based on secondary ion mass spectrometry analysis, are reported for a series of double-heterojunction p-n-N-P dual-band HgCdTe films for simultaneous detection in the 3–5 μm and 8–10 μm wavelength bands. Finally, the device characteristics of MOVPE-IMP in situ grown p-on-n heterojunction detectors operating in the 8–12 μm band are reviewed and compared with state-of-the-art liquid phase epitaxial grown devices.  相似文献   

10.
Dark currents in long wavelength infrared HgCdTe gated photodiodes   总被引:2,自引:0,他引:2  
The fabrication of HgCdTe photodiodes using plasma-induced p-to-n type conversion for junction formation shows promise in improving array uniformity and device yields in comparison to more traditional fabrication technologies. Previously, characterization and analysis of the diode current-voltage (I–V) characteristics of fabricated devices have given indications that surface-leakage current mechanisms are limiting device performance. To further investigate the effectiveness of the surface passivation employed in the fabrication process, gated-diode structures have been fabricated. The gated-diode structure enables the semiconductor surface potential to be varied, thus allowing the characteristics of surface-leakage currents and their effect on device performance to be evaluated. The long wavelength infrared (LWIR) HgCdTe gated photodiodes used in this study have been characterized using I–V measurements for variable gate-bias voltage and variable temperature. Analysis of the experimental results indicates that plasma-induced type conversion produces an n (lightly doped)-on-p junction that is highly susceptible to a trapped positive charge in the passivation layer, which results in increased surface-tunneling currents. Modeling of the various dark-current mechanisms is used to show the effect on dark-current generation of the surface band bending induced by variations in surface potential. In addition, temperature-dependent I–V measurements and analysis have also been conducted.  相似文献   

11.
A process for transferring patterns into HgCdTe epilayers using a hydrogenated amorphous silicon (a-Si:H) photomask has been demonstrated. a-Si:H films were grown using plasma enhanced chemical vapor deposition (PECVD). A latent image of a projected mask pattern was created at the a-Si:H surface by ultraviolet enhanced oxidation in the load lock of the PECVD vacuum chamber. This image was transformed into a mask by hydrogen plasma removal of the unexposed areas. A hydrogen plasma etch selectivity value greater than 500:1 for oxide and a-Si:H allows patterns as thick as 700 nm to be generated. a-Si:H masks were used to create arrays of mesas in planar HgCdTe epilayers by etching in an electron cyclotron resonance (ECR) plasma reactor. Etch selectivity between a-Si:H and HgCdTe during an ECR hydrogen plasma etch was measured to be greater than 18:1. RoA values > 103 were obtained for mid-wavelength infrared diodes made from HgCdTe heterojunctions using a-Si:H masks.  相似文献   

12.
An approach is presented which eliminates the problems caused by hydrocarbon polymer deposition during etching Hg1-x CdxTe with CH4/H2 based plasmas. We find that the addition of N2 to the plasma inhibits polymer deposition in the chamber and on the sample. We speculate that atomic nitrogen formed from N2 in the plasma has several beneficial effects: the elimination of polymer precursors, the reduction of the atomic hydrogen concentration, and a potential increase of methyl radical concentration. Evidence for the reaction between the nitrogen and the polymer precursors is presented. It is also demonstrated that the addition of N2 to CH4/H2 based electron cyclotron resonance (ECR) plasmas used to etch HgCdTe eliminates the roughness normally formed during etching and results in a steadier etch rate.  相似文献   

13.
HgCdTe 2D arrays are needed in both medium (MW) and long (LW) wavebands for imaging, search, and track and guidance applications. Often the detector is the performance-limiting component in the system, and it is necessary to use detectors with very low excess noise and few defective pixels. Normally the detector is cooled sufficiently to freeze-out thermally generated leakage currents, so the main interest is to understand the mechanisms that determine the general detector performance and the cause of defective pixels. This paper describes the detector technology and the ion beam junction-forming process. The fundamental performance limits of homojunction HgCdTe technology and the doping levels needed to produce a detector with impact-ionization limited performance are discussed. Extensive studies have been made on defective pixels in long wavelength arrays and some technologies for reducing them are described here. Defective pixels have been found to be associated with material dislocations crossing the p-n junction and a model has been proposed for the noise-generating mechanism.  相似文献   

14.
Plasma chemistries based on chlorine, bromine, or iodine have been investigated for inductively coupled plasma etching of NiFe and NiFeCo. There is clear evidence of a chemically enhanced etch mechanism with both Cl2- and I2- based mixtures, with no enhancement present for Br2 chemistries. Etch yields are typically low (≤0.25), emphasizing the need for high ion fluxes in order to achieve practical material removal rates.  相似文献   

15.
This paper mainly describes fabrication of two-dimensional GaAs-based photonic crystals with low nanometer scale air-hole arrays using an inductively coupled plasma (ICP) etching system. The sidewall profile and surface characteristics of the photonic crystals are systematically investigated as a function of process parameters including ICP power, RF power and pressure. Various ICP powers have no significant effect on the verticality of air-hole sidewall and surface smoothness. In contrast, RF power and chamber pressure play a remarkable role in improving sidewall verticality and surface characteristics of photonic crystals indicating different etching mechanisms for low nanometer scale photonic crystals. The desired photonic crystals have been achieved with hole diameters as low as 130 nm with smooth and vertical profiles by developing a suitable ICP processes. The influence of the ICP parameters on this device system are analyzed mainly by scanning electron microscopy. This fabrication approach is not limited to GaAs material, and may be efficiently applied to the development of most two-dimensional photonic crystal slabs.  相似文献   

16.
本文主要描述了用感应耦合等离子刻蚀系统(ICP)制作具有低纳米级空气孔阵列二维GaAs基光子晶体的过程。通过改变ICP功率,RF功率以及腔压三个参数,对光子晶体空气孔的侧壁和表面特性进行了系统的研究。结果表明,ICP功率的变化对空气孔侧壁和表面光滑度没有明显的影响,相反,RF功率和腔压对其起着重要的作用。最后通过优化各种过程参数,成功地获得了具有垂直平滑,直径约为130nm空气孔的光子晶体。本文ICP系统参数对光子晶体特性的影响主要通过扫描电镜进行分析,另外这种制作方法不局限于GaAs 基光子晶体,也可以应用于其它材料光子晶体的制作.  相似文献   

17.
InSb阵列探测芯片的感应耦合等离子反应刻蚀研究   总被引:1,自引:1,他引:0  
利用感应耦合等离子(ICP)反应刻蚀(RIE)进行了InSb阵列芯片台面刻蚀,并利用轮廓仪、SEM及XRD对台面形貌以及刻蚀损伤进行分析。采用优化的ICP刻蚀参数,实现的刻蚀速率为70~90 nm/min,刻蚀台阶垂直度~80°,刻蚀表面平整光滑、损伤低。与常规的湿法腐蚀相比,明显降低了侧向钻蚀。台面采用此反应刻蚀工艺,制备了具有理想I-V特性的320×256 InSb探测阵列芯片,在-500 mV到零偏压范围内,光敏元(面积23 μm×23 μm)的动态阻抗(Rd)大于100 MΩ。  相似文献   

18.
This paper compares H2/Ar, CH4/H2/Ar, and CH4/H2/N2/Ar plasma etch processes for CdZnTe and CdTe substrates in view of their potential to provide high-quality substrate surfaces for subsequent HgCdTe epitaxy. An electron cyclotron resonance source was used as plasma generator, and ellipsometry, angle-resolved x-ray photoelectron spectroscopy and low energy electron diffraction were applied to characterize roughness, composition, and order of the resulting substrate surfaces. It was found that CdZnTe is much more susceptible to evolving surface roughness under H2/Ar plasma exposure than CdTe. The severe roughening observed at 100°C sample temperature was found to be correlated with a buildup of ZnTe at the surface, which suggests that the roughness formation may result from a preferential etching of the CdTe component. This surface degradation could be reduced by the addition of CH4 to the process gases. However, only a further addition of nitrogen gas balanced and substantially improved the plasma process so that atomically clean, very smooth, and stoichiometrically composed CdZnTe surfaces of long-range order were eventually obtained.  相似文献   

19.
Indium phosphide dry etching is carried out using a reactive beam extracted from a Br2-N2 gas discharge plasma. Keeping the N2 gas pressure constant at 0.23 mTorr, the Br2 gas pressure was varied from 0 to 0.1 mTorr and the sample temperature was varied from 40 to 200°C. The etched shapes and etching rates are investigated in terms of the etching beam composition. Two distinct types of etching mechanisms come into play depending on the Br2 gas pressure. Smooth vertical side walls and a temperature independent etching rate can be obtained at a Br2 gas pressure of 0.04 mTorr or less and a temperature above 100°C, where the etching is induced by the ambient Br2 gas species and N2 beam. Undercut etching with a temperature dependent etching rate is seen at a Br2 gas pressure of 0.07 mTorr or higher, where the etching beam contains both N2 and Br2 gas species. Neutralized Br species generated by the discharge of the Br2 gas are shown to form the undercut. A waveguide corner mirror with a loss of less than 1 dB is made by using an etching beam with no neutralized Br species.  相似文献   

20.
We report on several new aspects of etching of Hg1−xCdxTe (x = 0.22), HgTe, and CdTe in CH4/H2/Ar plasmas generated by an electron cyclotron resonance plasma source. Using a residual gas analyzer, we have identified elemental Hg, TeH2, Te(CH3)2, and Cd(CH3)2 as the primary reaction products escaping from a HgCdTe surface during the plasma exposure. We have also demonstrated that a bias is not needed to etch HgCdTe at moderate temperatures (30-40°C), as previously suggested by other researchers. We have also developed a technique that avoids the formation of hydrocarbon polymer films on a HgCdTe sample during etching. Moreover, we have examined by x-ray photoelectron spectroscopy analysis and ellipsometry the surface condition of HgCdTe resulting from etching with this technique at zero bias. After exposure to the CH4/H2Ar plasma (or to a H2/Ar plasma only), the HgCdTe samples exhibited a depletion of the HgTe component in the near surface region (increase of the x-value). The depletion covered a range from virtually x = 1 after H2/Ar (10:2 in sccm) etching to values 0.4 < x < 0.5 after CH4/H2Ar (7:7:2 in seem) etching. Exposures to the plasmas were found to result in surface roughening of HgCdTe, however, plasmas rich in H2 were observed to cause significantly rougher surfaces than plasmas with small H2/CH4 ratios. This difference in the resulting surface condition is attributed solely to chemical effects since the respective ion energies are considered to be below the damage threshold for HgCdTe in both cases. We also investigated the etching of HgTe and CdTe single crystals. The etch rate of HgTe was found to be over one order of magnitude higher than that of CdTe under similar conditions. This large difference in etch rates is assumed to be responsible for the observed preferential etching of the HgTe component indicated by the HgTe depletion of the HgCdTe surface region.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号