首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The authors report on a highly reliable stacked storage capacitor with ultrahigh capacitance using rapid-thermal-annealed low-pressure chemical vapor deposited (LPCVD) Ta2O5 films (~100 Å) deposited on NH3-nitrided rugged poly-Si electrodes. Capacitances as high as 20.4 fF/μ2 (corresponding to the thinnest tox.eff (16.9 Å) ever reported using LPCVD-Ta2O5 and poly-Si technologies) have been achieved with excellent leakage current and time-dependent dielectric breakdown (TDDB) characteristics. Extensive electrical characterization over a wide temperature range (~25-300°C) shows that Ta2O 5 films on rugged poly-Si electrodes have a better temperature stability in dielectric leakage and breakdown compared to the films on smooth poly-Si electrodes  相似文献   

2.
A dielectric film technology characterized by a novel multilayer structure formed by oxidation of Ta2O5/Si3 N4 films on polysilicon has been developed to realize high-density dRAMs. The dry oxidation of the Ta2O5/Si3N4 layers was performed at temperatures higher than 900°C. This film has a capacitance per unit area from 5.5 to 6.0 fF/ μm2, which is equivalent to that of a 6.0- to 6.5-nm-thick SiO2. The leakage current at an effective electric field of 5 MV/cm is less than 10-9 A/cm2. Under such an electric field, the extrapolated time to failure for 50% cumulative failure can be as high as 1000 years  相似文献   

3.
To ensure the required capacitance for low-power DRAMs (dynamic RAMs) beyond 4 Mb, three kinds of capacitor structures are proposed: (a) poly-Si/SiO2/Ta2O5/SiO2 /poly-Si or poly-Si/Si3N4/Ta2O 5/SiO2/poly-Si (SIS), (b) W/Ta2O5 /SiO2/poly-Si (MIS), and (c) W/Ta2O5 W (MIM). The investigation of time-dependent dielectric breakdown and leakage current characteristics indicates that capacitor dielectrics that have equivalent SiO2 thicknesses of 5, 4, and 3 nm can be applied to 3.3-V operated 16-Mb DRAMs having stacked capacitor cells (STCs) by using SIS, MIS, and MIM structures, respectively, and that 3 and 1.5 nm can be applied to 1.5-V operated 64-Mb DRAMs having STCs by using MIS and MIM structures, respectively. This can be accomplished while maintaining a low enough leakage current for favorable refresh characteristics. In addition, all these capacitors show good heat endurance at 950°C for 30 min. Therefore, these capacitors allow the fabrication of low-power high-density DRAMs beyond 4 Mb using conventional fabrication processes at temperatures up to 950°C. Use of the SIS structure confirms the compatability of the fabrication process of a storage capacitor using Ta2O5 film and the conventional DRAM fabrication processes by successful application to the fabrication process of an experimental memory array with 1.5-μm×3.6-μm stacked-capacitor DRAM cells  相似文献   

4.
We trace the development of the ON/ONO dielectric film and examine the potential of new dielectrics with high dielectric constants such as Ta2O5 and ferroelectric materials. We also examine hemispherical grained (HSG) polysilicon, which is an innovative way to increase the effective area of the capacitor  相似文献   

5.
A capacitor technology developed to obtain extremely thin Ta2 O5 dielectric film with an effective SiO2 film thickness down to 3 nm (equivalent to 11 fF/μm2) for a 1.5-V, low-power, high-density, 64-Mb DRAM is discussed. The Ta2 O5 has low leakage current, low defect density, and excellent step coverage. The key process is two-step annealing after the deposition of the film by thermal chemical vapor deposition (CVD). The first step involves ozone (O3) annealing with ultraviolet light irradiation, which reduces the leakage current. The second step is dry oxygen (O2) annealing, which decreases the defect density. A more significant reduction in the leakage current is attained by the combination of the two annealing steps  相似文献   

6.
Bandgap-engineered W/Si1-xGex/Si junctions (p+ and n+) with ultra-low contact resistivity and low leakage have been fabricated and characterized. The junctions are formed via outdiffusion from a selectively deposited Si0.7Ge 0.3 layer which is implanted and annealed using RTA. The Si 1-xGex layer can then be selectively thinned using NH4OH/H2O2/H2O at 75°C with little change in characteristics or left as-deposited. Leakage currents were better than 1.6×10-9 A/cm2 (areal), 7.45×10-12 A/cm (peripheral) for p+/n and 3.5×10-10 A/cm2 (peripheral) for n+/p. W contacts were formed using selective LPCVD on Si1-xGex. A specific contact resistivity of better than 3.2×10-8 Ω cm2 for p +/n and 2.2×10-8 Ω cm2 for n+/p is demonstrated-an order of magnitude n+ better than current TiSi2 technology. W/Si1-xGe x/Si junctions show great potential for ULSI applications  相似文献   

7.
An effective model to evaluate the leakage currents for different stacked gates deep submicron MOS transistors is presented. For a given equivalent oxide thickness of a stacked gate, the gate leakage current decreases with an increase of high-k dielectric thickness or a decrease of interlayer thickness. Turning points at high gate biases of the IV curves are observed for Si3N4/SiO2, Ta2O5/SiO2, Ta2O5/SiO2−yNy, Ta2O5/Si3N4, and TiO2/SiO2 stacked gates except for Al2O3/SiO2 structure. Design optimization for the stacked gate architecture to obtain the minimum gate leakage current is evaluated.  相似文献   

8.
This paper summarizes the electrical characterization of MIM capacitor realized in three dimensions. Manufacturing of the device is described, as well as an electrical comparison of three dielectrics, Si3N4, Al2O3, Ta2O5 and two deposition methods, metal organic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). Selecting Al2O3 deposited by ALD, high density of 35 nF/mm2 is obtained with low leakage current. Statistical measurements put forward the industrial robustness of the device integrated in BiCMOS technology. Three circuits embedding this new device are characterized: a high-pass filter, a voltage-controlled oscillator (VCO), and a phase-locked loop (PLL). They demonstrate excellent performances with significant area and assembly costs savings.  相似文献   

9.
Capacitors with ultra-thin (6.0-12.0 nm) CVD Ta2O5 film were fabricated on lightly doped Si substrates and their leakage current (Ig-Vg) and capacitance (C-V) characteristics were studied. For the first time, samples with stack equivalent oxide thickness around 2.0 nm were compared with ultra-thin silicon dioxide and silicon oxynitride. The Ta2O5 samples showed remarkably lower leakage current, which not only verified the advantages of ultra-thin Ta2O5 as dielectrics for high density DRAM's, but also suggested the possibility of its application as the gate dielectric material in MOSFET's  相似文献   

10.
As the gate oxide thickness decreases below 2 nm, the gate leakage current increases dramatically due to direct tunneling current. This large gate leakage current will be an obstacle to reducing gate oxide thickness for the high speed operation of future devices. A MOS transistor with Ta2O5 gate dielectric is fabricated and characterized as a possible replacement for MOS transistors with ultra-thin gate silicon dioxide. Mobility, Id-Vd, Id-Vg, gate leakage current, and capacitance-voltage (C-V) characteristics of Ta2O5 transistors are evaluated and compared with SiO2 transistors. The gate leakage current is three to five orders smaller for Ta2O5 transistors than SiO2 transistors  相似文献   

11.
Plasma-charging damage on gate dielectrics of MOS devices is an important issue because of shrinking dimension, plasma nonuniformity, and effects on high-k gate dielectrics. A comprehensive study of plasma-charging effects on the electrical properties of MOS devices was investigated in this work. Shunt diodes were used to estimate the charging polarity distribution. For high-frequency application, the 1/f noise was found to be a promising index for assessing plasma-charging damage. Gate oxynitride formed by two-step nitridation was demonstrated to have better electrical reliability as compared to the conventional one-step nitridation, especially accompanied by amorphous silicon gate electrode. This improvement could be attributed to the relaxation of interface stress by amorphous silicon gate electrode and the suppression of hydrogen effects by gate oxynitride using two-step nitridation. Plasma-charging damage on Si3N4 and Ta2O5 gate dielectrics with high dielectric constant was also investigated. For MOS devices with Si3N4 film, the leakier characteristic and shorter time to breakdown reveal its inferior reliability. For MOS devices with Ta2O5 gate dielectric, the trap-assisted current mechanism makes a thicker physical thickness of Ta2O5 film more susceptible to plasma-charging-induced damage. Smaller physical thickness of Ta2O5 film in MOS devices is favorable due to the better reliability and comparable plasma-induced electrical degradation  相似文献   

12.
The electrical properties of CVD-Ta2O5 thin-films are improved by post-deposition oxygen-radical annealing. Since this annealing is carried out at very low pressure (10-6 torr), the growth of SiO2 in Ta2O 5/Si interface is small, and the residual carbon in the film is reduced. The damage to the Ta2O5 film caused by oxygen ion bombardment is negligible, because few charged particles reach the film. A critical voltage Vcrit of 1.45 V for the leakage current less than 10-8 A/cm2 was realized by these Ta2O5 films with the effective thickness teff of 2.59 nm. The Vcrit value for oxygen-radical annealing is higher than that for oxygen-plasma annealing  相似文献   

13.
A self-aligned stacked-capacitor cell called the CROWN cell (a crown-shaped stacked-capacitor cell), used for experimental 64-Mb-DRAMs operated at 1.5 V, has been developed using 0.3-μm electron-beam lithography. This memory cell has an area of 1.28 μm2. The word-line pitch and sense-amplifier pitch of this cell are 0.8 and 1.6 μm, respectively. In spite of this small cell area, the CROWN cell has a large capacitor surface area of 3.7 μm2 because (1) it has a crown-shaped capacitor electrode, (2) its capacitor is on the data line, and (3) it has a self-aligned memory cell fabrication process and structure. The large capacitor area and a Ta2O5 film equivalent to a 2.8-nm SiO2 film ensure a large storage charge of 33 fC (storage capacitance equals 44 fF) for 1.5-V operation. A small CROWN cell array and a memory test circuit were successfully used to achieve a basic DRAM cell operation  相似文献   

14.
This study aims to improve the electrical characteristics and reliability of low-pressure chemical vapor deposited (LPCVD) Ta2 O5, films by developing a new post-deposition single-step annealing technique. Experimental results indicate that excited oxygen atoms generated by N2O decomposition can effectively repair the oxygen vacancies in the as-deposited CVD Ta2 O5 film, thereby resulting in a remarkable reduction of the film's leakage current. Two other post-deposition annealing conditions are compared: rapid thermal O2 annealing and furnace dry-O2 annealing. The comparison reveals that RTN2O annealing has the lowest leakage current, superior thermal stability of electrical characteristics and the best time-dependent dielectric breakdown (TDDB) reliability  相似文献   

15.
Plasma charging effects on the gate insulator of high-dielectric constant (k) material in MOS devices deserve to be investigated because of different trap-assisted conduction mechanisms. Plasma-induced degradation in gate-leakage current and time to breakdown is clearly observed in this work. MOS device with Si3N4 film seems to have smaller degradation of gate-leakage current while it suffers shorter time to breakdown as compared to Ta2O5 samples. For devices with Ta2O5 film, a larger physical thickness suffers more reliability degradation from plasma charging damage because of the richer traps. Thus, a smaller physical thickness of high-k dielectric film is favorable for sub-micron MOS devices of ULSI application  相似文献   

16.
Roughness effects of neighboring dielectrics on electrical characteristics of thin-film electroluminescent devices were investigated in order to improve the understanding of physics for the devices. Atomic force microscopy analysis reveal that thicker bottom layer of Ta2O5 shows rougher surface resulting in the rougher surface of ZnS:Pr,Ce layer. It can be easily seen that the dc leakage current increases rapidly with increase of surface roughness. Furthermore, it is notable that the initiation field of Poole-Frenkel current conduction is lowered by increasing surface roughness of Ta2O5 thin film. Internal charge-phosphor field (Q int-Fp) analysis and capacitance-ac voltage (C-V) analysis for ITO-Ta2O5-ZnS:Pr,Ce-Al and ITO-Ta2O5-ZnS:Pr,Ce-Ta2O5-Al show that the steady state phosphor field is smaller and C-V curve in transition region is less steep with increase of root-mean-square roughness between lower dielectric and phosphor layer in the alternating current thin-film electroluminescent (ACTFEL) devices. Therefore, we conclude that interface roughness is one of the physical factors to change the electrical performance of ACTFEL device  相似文献   

17.
N-channel metal oxide semiconductor field effect transistors (MOSFETs) with Ta2O5 gate dielectric were fabricated. An intrinsic Ta2O5/silicon barrier height of 0.51 eV was extracted from the gate current. The effective Ta 2O5/silicon barrier height including image force barrier lowering is about 0.37 eV with drain to source voltage VDS ranging from 1.5 V to 4.0 V. Due to the low barrier height, negative transconductance effect was observed in the linear region. The decrease of drain current is due to the real space transfer of electrons from the drain terminal to the gate electrode  相似文献   

18.
Electrical and reliability properties of ultrathin La2O 3 gate dielectric have been investigated. The measured capacitance of 33 Å La2O3 gate dielectric is 7.2 μF/cm2 that gives an effective K value of 27 and an equivalent oxide thickness of 4.8 Å. Good dielectric integrity is evidenced from the low leakage current density of 0.06 A/cm2 at -1 V, high effective breakdown field of 13.5 MV/cm, low interface-trap density of 3×1010 eV-1/cm2, and excellent reliability with more than 10 years lifetime even at 2 V bias. In addition to high K, these dielectric properties are very close to conventional thermal SiO2   相似文献   

19.
The effect of surface roughness of Si3N4 films on time-dependent dielectric breakdown (TDDB) characteristics of SiO2/Si3N4/SiO2 (ONO) stacked films was investigated. The surface roughness of Si3N 4 films-was found to become higher with increasing deposition temperature and to cause the degradation of TDDB characteristics of ONO films in DRAMs. A local thinning of ONO films, evaluated from the TDDB characteristics, agreed with the surface roughness measured by atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM). Dependence of time to breakdown of ONO films on the deposition conditions was interpreted by electric field intensification due to the surface roughness of Si3N4 films  相似文献   

20.
Large area, high density integrated capacitors within printed wiring boards can provide a substantial decoupling capacitance with very low parasitic inductance. Tantalum pentoxide (Ta2O5) is an excellent dielectric for this application due to the relatively high dielectric constant (~ 22-24), however the difficulty of fabricating large, defect-free capacitors has thus far prevented the realization of practical applications. This work demonstrates high performance capacitors with Ta2O5 dielectric developed with a two step oxidation scheme consisting of reactive sputtering followed by anodization. Thin films of Ta2O5 were deposited by reactive sputtering on silicon and also on Upilexreg covered glass wafers using dc magnetron sputtering with a gas flow ratio of 10/90 O2/Ar. In the two-step oxidation scheme, anodization is performed after reactively sputtering tantalum oxide films to obtain a densifled oxide structure. The electrical and physical properties of these two step sputtered/ anodized tantalum oxide films are shown to be superior to those of tantalum oxide films prepared by either anodization or sputtering alone. This work has shown that Ta2O5 is a potential dielectric for integrated capacitors that could be used in advanced packaging applications.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号