首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 10 毫秒
1.
The recent rapid advances in wireless telecommunication, Internet of Things, the Tactile Internet (5th generation wireless systems), the Industrial Internet, electronic warfare, satellite broadcasting, and intelligent transport systems demand low loss dielectric materials with ultra-low sintering temperatures with modern component fabrication techniques. Properties of microwave ceramics depend on several parameters including their composition, the purity of starting materials, processing conditions, and their ultimate densification/porosity. The preparation, characterization and properties of important materials families such as glass ceramics and molybdates, tellurates, tungstates and vanadates, in combination with Bi, K, Na, Ag, Li, Ba, Ca, etc. with ultra-low sintering temperatures are discussed. In this review the data for all reported low-loss microwave dielectric ceramic materials with ultra-low sintering temperatures are collected and tabulated. The table of these materials gives the relative permittivity, quality factor (tan δ), temperature variation of the resonant frequency, crystal structure, sintering temperature, measurement frequency and references. The data arranged in the order of increasing relative permittivity will be very useful for scientists, industrialists, engineers and students working on current and emerging applications of microelectronics.  相似文献   

2.
Diffusion of silver inner-electrode occurred during sintering of commercial low temperature co-fired glass ceramic substrate made the dielectric surface become light yellow. The samples added with silicon oxide (SiO2) powder, however, maintained white color. Silicon-oxide powder was used to modified the sintering behavior and inhibit the silver ions diffusion for the LTCC ceramics. The alumina particles in the LTCC substrates could be regarded as the diffusion barrier of silver ions. The activation energy for silver ions diffusion in the LTCC substrates was 101 kJ/mol. When 5 wt% SiO2 powder was added into the LTCC substrate, the diffusion activation energy of silver ions became 145 kJ/mol. At sintering temperature of 1180 K, the diffusion coefficient of silver ion in the LTCC ceramic substrates with and without additional SiO2 were 8.88 × 10−13 cm2/s and 1.08 × 10−12 cm2/s, respectively.  相似文献   

3.
High growth temperatures (>1100 degrees C), low production yield, and impurities have prevented research progress and applications of boron nitride nanotubes (BNNTs) in the past 10 years. Here, we show that BNNTs can be grown on substrates at 600 degrees C. These BNNTs are constructed of high-order tubular structures and can be used without purification. Tunneling spectroscopy indicates that their band gap ranges from 4.4 to 4.9 eV.  相似文献   

4.
The low firing temperature glass-ceramics of the system B2O3-P2O5-MgO-Al2O3-SiO2 were investigated in the present paper. The effect of the heat-treatment schedule on crystallization and the properties of crystal phases were analyzed. This material has a low dielectric constant and a low dissipation factor and can be co-fired with high conductivity metals such as Au, Ag/Pd, Cu paste at low temperature (below 1000 °C), suggesting that it would be a promising material for high-frequency MLCIs.  相似文献   

5.
6.
Cordierite-based glass-ceramics with non-stoichiometric composition doped with rare earth oxide (CeO2) and heavy metal oxide (Bi2O3) respectively were fabricated from glass powders. After sintering and crystallization heat treatment, various physical properties, including compact density and apparent porosity, were examined to evaluate the sintering behavior of cordierite-based glass-ceramics. Results showed both that the additives heavy metal oxide and rare earth oxide promoted the sintering and lowered the phase temperature from - to -cordierite as well as affecting the dielectric properties of sintered glass-ceramics. The complete-densification temperature for samples was as low as 900 °C. This material has a low dielectric constant (5.3), a low dielectric loss (0.2%) and a low thermal expansion coefficient (2.8–3.52×10–6 K–1), and can be co-fired with high conductivity metals such as Au, Ag, Cu, Ag/Pd paste at low temperature (below 950 °C), which makes it a promising material for low-temperature co-fired ceramic substrates.  相似文献   

7.
This article reports the impact of one critical process parameter, the heating rate during sintering from 530 to 850 °C, on the densification of a crystallized low temperature co-fired ceramics (LTCC). At a low heating rate the densification of LTCC is impeded by the competing crystallization processes, resulting in less shrinkage, lower density and consequently lower dielectric constant. Microstructural evidence is provided to analyze the multiple crystalline phases formed during sintering process. It is concluded that an optimized sintering profile should have a heating rate that allows full densification prior to onset of crystallization, follow by a full crystallization to limit the amount of residual glass for enhanced dielectric properties.  相似文献   

8.
GaN films were deposited on indium tin oxide (ITO) coated glass substrates at various deposition temperatures using an electron cyclotron resonance plasma enhanced metal organic chemical vapor deposition (ECR-PEMOCVD). The TMGa and N2 are applied as precursors of Ga and N, respectively. The crystalline quality and photoluminescence properties of as-grown GaN films are systematically investigated as a function of deposition temperature by means of X-ray diffraction analysis (XRD), reflection high energy electron diffraction (RHEED), atomic force microscopy (AFM), and room temperature photoluminescence (PL). The results show that the dense and uniformed GaN films with highly c-axis preferred orientation are successfully achieved on ITO glass substrates under optimized deposition temperature of 430 °C, and the room temperature PL spectra of the optimized GaN film show an intense near-band-edge luminescence located at 360 nm. The obtained GaN/ITO/glass structure was especially attractive for transparent optoelectronics applications with inexpensive ITO/glass substrate.  相似文献   

9.
Enhancement of mechanical and tribological properties on AISI D3 steel surfaces coated with CrN/AlN multilayer systems deposited in various bilayer periods (Λ) via magnetron sputtering has been studied in this work exhaustively. The coatings were characterized in terms of structural, chemical, morphological, mechanical and tribological properties by X-ray diffraction (XRD), electron dispersive spectrograph, atomic force microscopy, scanning and transmission electron microscopy, nanoindentation, pin-on-disc and scratch tests. The failure mode mechanisms were observed via optical microscopy. Results from X-ray diffraction analysis revealed that the crystal structure of CrN/AlN multilayer coatings has a NaCl-type lattice structure and hexagonal structure (wurtzite-type) for CrN and AlN, respectively, i.e., made was non-isostructural multilayers. An enhancement of both hardness and elastic modulus up to 28 GPa and 280 GPa, respectively, was observed as the bilayer periods (Λ) in the coatings were decreased. The sample with a bilayer period (Λ) of 60 nm and bilayer number n  =  50 showed the lowest friction coefficient (∼0.18) and the highest critical load (43 N), corresponding to 2.2 and 1.6 times better than those values for the coating deposited with n = 1, respectively. The best behavior was obtained when the bilayer period (Λ) is 60 nm (n = 50), giving the highest hardness 28 GPa and elastic modulus of 280 GPa, the lowest friction coefficient (∼0.18) and the highest critical load of 43 N. These results indicate an enhancement of mechanical, tribological and adhesion properties, comparing to the CrN/AlN multilayer systems with 1 bilayer at 28%, 21%, 40%, and 30%, respectively. This enhancement in hardness and toughness for multilayer coatings could be attributed to the different mechanisms for layer formation with nanometric thickness such as the Hall–Petch effect and the number of interfaces that act as obstacles for the crack deflection and dissipation of crack energy.  相似文献   

10.
The surface acoustic wave velocity has been measured on a-plane (c-propagation) and c-plane oriented bulk aluminum nitride (AlN) single crystals using the S11-parameter method in the frequency range 160-360 MHz. The SAW velocity is 5760 m/s for both orientations. From comparison of this value with the simulations using various elastic constants of AlN available in literature, we estimated the elastic constant C44 to be 122 +/- 1 GPa.  相似文献   

11.
Physical and electrical properties of three types of Ag-Pd pastes, which consist of different metal fine powders, i.e., a coprecipitated powder, an agglomerated alloy powder made by heat treatment and a pulverized alloy powder produced by improved pulverization method, have been studied. The paste prepared from pulverized alloy powder showed a higher film packing density (6.3 g/cm3) than those made of the other powders. The film consisting of pulverized alloy powder showed a lower expansion at around 500 °C, a lower shrinkage from 700 °C to 1100 °C and a lower electric resistivity. The results indicated that the paste which consists of an pulverized Ag-Pd alloy powder was superior in performance to the other two pastes for an internal electrode material of multilayer ceramic device.  相似文献   

12.
We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30° rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30° rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 °C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices.  相似文献   

13.
14.
Herein, we report a photoinduced transition of hydrophobicity to high hydrophilicity of TiO2 nanodot films in applications of cell sheet engineering. A phase-separation-induced self-assembly process was adopted to prepare a TiO2 nanodot gel film on a substrate. Subsequently, a hydrothermal treatment (with ethanol/water at 140 °C for 2 h) was used to convert the nanodot gel film to TiO2 nanodot solid film. The resulting TiO2 dots were amorphous with adjustable size and density. The amorphous TiO2 nanodot film showed a conversion from a good hydrophobic surface, with a water contact angle (WCA) of 67.6 ± 2.0°, to a highly hydrophilic one, with a WCA of 5.3 ± 2.0° (i.e. almost superhydrophilic) after UV irradiation. A good reversibility was also observed.  相似文献   

15.
《Thin solid films》1987,146(3):255-264
Graphite substrates have been covered with aluminium nitride (AlN) layers prepared by plasma-enhanced chemical vapour deposition from AlBr3-N2-H2-Ar gas mixtures. The glow discharge (frequency, 13.56 MHz; power, 50–500 W) was generated by an r.f. induction coil. The graphite substrate mounted on a grounded graphite susceptor was inductively heated up to a temperature in the range 200–800 °C. The mass of the deposit per square centimetre was determined as a function of reaction time, total gas pressure, substrate temperature, r.f. power, gas flow velocity and AlBr3 concentration. The morphology of the AlN layers was examined by scanning electron microscopy. Fine-grained polycrystalline AlN films were grown at 700 °C under a total pressure below 10 Torr. Translucent polycrystalline AlN films having a 〈001〉 preferred orientation were deposited at a total pressure in the range 10–40 Torr.  相似文献   

16.
当ZnO薄膜直接沉积在Si衬底上时,由于ZnO与Si的晶格失配度大,不易于获得高质量的ZnO薄膜.因此,选择合适的衬底材料沉积ZnO薄膜,对提高其质量非常重要.本文采用射频磁控溅射法,通过在Si(100)衬底上预沉积AlN作为ZnO薄膜生长的缓冲层,获得了择优取向的ZnO薄膜.我们还讨论了ZnO薄膜在AlN/Si衬底上的取向生长机理.  相似文献   

17.
A maximum processing temperature of 250/spl deg/C is used to fabricate capacitive micromachined ultrasonic transducers (CMUTs) on silicon and quartz substrates for immersion applications. Fabrication on silicon provides a means for electronics integration via post-complementary metal oxide semiconductor (CMOS) processing without sacrificing device performance. Fabrication on quartz reduces parasitic capacitance and allows the use of optical displacement detection methods for CMUTs. The simple, low-temperature process uses metals both as the sacrificial layer for improved dimensional control, and as the bottom electrode for good electrical conductivity and optical reflectivity. This, combined with local sealing of the vacuum cavity by plasma-enhanced chemical-vapor deposition of silicon nitride, provides excellent control of lateral and vertical dimensions of the CMUTs for optimal device performance. In this paper, the fabrication process is described in detail, including process recipes and material characterization results. The CMUTs fabricated for intravascular ultrasound (IVUS) imaging in the 10-20 MHz range and interdigital CMUTs for microfluidic applications in the 5-20 MHz range are presented as device examples. Intra-array and wafer-to-wafer process uniformity is evaluated via electrical impedance measurements on 64-element ring annular IVUS imaging arrays fabricated on silicon and quartz wafers. The resonance frequency in air and collapse voltage variations are measured to be within 1% and 5%, respectively, for both cases. Acoustic pressure and pulse echo measurements also have been performed on 128 /spl mu/m/spl times/32 /spl mu/m IVUS array elements in water, which reveal a performance suitable for forward-looking IVUS imaging at about 16 MHz.  相似文献   

18.
Q.X. Guo  T. Tanaka  M. Nishio  H. Ogawa 《Vacuum》2006,80(7):716-718
Aluminum nitride (AlN) films were grown on sapphire substrates by radio frequency (RF) magnetron sputtering in plasma containing a mixture of argon and nitrogen, using a pure aluminum target. The effect of RF power was investigated with respect to growth rate, surface roughness, and transmittance of AlN films. As the RF power increases, the growth rate increases and the root mean square of surface roughness decreases while the absorption edge shifts to longer wavelength. This shift is believed to be due to the defects induced by ion bombardment.  相似文献   

19.
Dense mullite coating having thickness in the range of 3 to 5 μm was produced from sol-gel mullite precursor coated on SiC porous substrates at heat treatment temperatures as low as 1300 °C. Mullite formed in the coating layer was characterised by X-ray diffraction. The precursors have an average particle size of 170 nm and the mullite formed in the coating in situ has a grain size of 3-5 μm. Mullite grains formed on the SiC have needle like morphology. The mullite formation has been explained on the basis of reaction between the silica-alumina nano precursor and the needle like morphology has been similar to that formed from a liquid phase. The gas permeation analysis shows that there is considerable difference between gas pressure while using SiC substrate before and after coating and hence clearly indicated reduction in pore size. This particular approach is good since usual mullite formation is at high temperature and is difficult to attain small grain size. Further, in situ formed mullite, in this investigation covers the SiC surface protecting the SiC from oxidation at high temperature.  相似文献   

20.
The oxidation onset and the kinetics of polycrystalline AlN substrates were studied by measuring the weight percent of oxygen in the surface layer and the surface roughness with energy dispersive X-ray spectroscopy (EDX) and atomic force microscopy (AFM), respectively. The oxidation started in the temperature range 800–900 °C and the entire surface of the AlN substrate was covered with an Al2O3 oxide layer below 1100 °C. The oxidation kinetics followed a linear rate law below 1000 °C and a parabolic rate law above 1100 °C. Above 1100 °C, the surface roughness increased abruptly by the irregular shape of overgrown oxide, which might enhance the adhesion of metal to the AlN surface in a metallization process. With an increase of the oxidation temperature above 1200 °C, the oxide layer split during cooling due to the thermal expansion mismatch between the AlN matrix and the Al2O3 oxide layer.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号