首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Charge trapping and trap generation in field-effect transistors with SiO2/HfO2/HfSiO gate stack and TaN metal gate electrode are investigated under uniform and non-uniform charge injection along the channel. Compared to constant voltage stress (CVS), hot carrier stress (HCS) exhibits more severe degradation in transconductance and subthreshold swing. By applying a detrapping bias, it is demonstrated that charge trapping induced degradation is reversible during CVS, while the damage is permanent for hot carrier injection case.  相似文献   

2.
The trapping/detrapping behavior of charge carriers in ultrathin SiO2/TiO2 stacked gate dielectric during constant current (CCS) and voltage stressing (CVS) has been investigated. Titanium tetrakis iso-propoxides (TTIP) was used as the organometallic source for the deposition of ultra-thin TiO2 films at low temperature (<200 °C) on strained-Si/relaxed-Si0.8Ge0.2 heterolayers by plasma-enhanced chemical vapor deposition (PECVD) in a microwave (700 W, 2.45 GHz) plasma cavity discharge system at a pressure of 66.67 Pa. Stress-induced leakage current (SILC) through SiO2/TiO2 stacked gate dielectric is modeled by taking into account the inelastic trap-assisted tunneling (ITAT) mechanism via traps located below the conduction band of TiO2 layer. The increase in the gate current density observed during CVS from room temperature up to 125 oC has been analyzed and modeled considering both the buildup of charges in the layer as well as the SILC contribution. Trap generation rate and trap cross-section are extracted. A capture cross-section in the range of 10−19 cm2 as compared to 10−16 cm2 in SiO2 has been observed. A temperature-dependent trap generation rate and defects have also been investigated using time-dependent current density variation during CVS. The time dependence of defect density variation is calculated within the dispersive transport model, assuming that these defects are produced during random hopping transport of positively charge species in the insulating high-k stacked layers. SILC generation kinetics, i.e. defect generation probability under different injected fluences for various high-constant stress voltages in both polarities have been studied. An empirical relation between trap generation probability and applied stress voltage for various injected fluences has been developed.  相似文献   

3.
The structural and electrical characteristics of a novel nanolaminate Al2O3/ZrO2/Al2O3 high-k gate stack together with the interfacial layer (IL) formed on SiGe-on-insulator (SGOI) substrate have been investigated. A clear layered Al2O3 (2.5 nm)/ZrO2 (4.5 nm)/Al2O3 (2.5 nm) structure and an IL (2.5 nm) are observed by high-resolution transmission electron microscopy. X-ray photoelectron spectroscopy measurements indicate that the IL contains Al-silicate without Ge atom incorporation. A well-behaved CV behavior with no hysteresis shows the absence of Ge pileup or Ge segregation at the gate stack/SiGe interface.  相似文献   

4.
Metal–oxide–semiconductor (MOS) capacitors based on HfO2 gate stacks with Al and TiN gates are compared to study the effect of the gate electrode material to the properties of insulator–semiconductor interface. The structures under study were shown to contain interface trap densities of around 2 × 1011 cm−2 eV−1 for Al gate and up to 5.5 × 1012 cm−2 eV−1 for TiN gate. The peak in the surface state distribution was found at 0.19 eV above the valence band edge for Al electrode. The respective capture cross-section is 6 × 10−17 cm2 at 200 K.The charge injection experiments have revealed the presence of hole traps inside the dielectric layer. The Al-gate structure contains traps with effective capture cross-section of 1 × 10−20 cm2, and there are two types of traps in the TiN-gate structure with cross-sections of 3.5 × 10−19 and 1 × 10−20 cm2. Trap concentration in the structure with Al electrode was considerably lower than in the structure with TiN electrode.  相似文献   

5.
High permittivity (high-k) gate dielectrics were fabricated using the plasma oxidation of Hf metal/SiO2/Si followed by the post-deposition annealing (PDA), which induced a solid-phase reaction between HfOx and SiO2. The oxidation time and PDA temperature affected the equivalent oxide thickness (EOT) and the leakage current density of the high-k dielectric films. The interfacial structure of the high-k dielectric film/Si was transformed from HfOx/SiO2/Si to HfSixOy/Si after the PDA, which led to a reduction in EOT to 1.15 nm due to a decrease in the thickness of SiO2. These high-k dielectric film structures were investigated by X-ray photoelectron spectroscopy. The leakage current density of high-k dielectric film was approximately four orders of magnitude lower than that of SiO2.  相似文献   

6.
We fabricated a high-k Er-silicate gate dielectric using interfacial reaction between Er and SiO2 films and investigated its thermal stability. The reduced capacitance with increasing annealing temperature is associated with the chemical bonding change of Er-silicate from Er-rich to Si-rich, induced by a reaction between Er-silicate and Si during thermal treatment. Further an increase in the annealing temperature (>500 °C) causes the formation of Si dangling bonds, which is responsible for an increased interface trap density.  相似文献   

7.
We present a detailed experimental investigation of transient currents in HfO2 capacitors in the short timescale. We show that the transient currents flowing through the capacitor plates when the gate voltage is reset to zero after a low voltage stress period follow a power-law time dependence tα (with α ? 1) over more than eight decades of time and down to the μs timescale. As transient currents in HfO2 are largely increased with respect to the SiO2 case, these results confirm that transient effects can be a severe issue for the successful integration of high-k dielectrics.  相似文献   

8.
In this study, the interface trap density of metal-oxide-semiconductor (MOS) devices with Pr2O3 gate dielectric deposited on Si is determined by using a conductance method. In order to determine the exact value of the interface trap density, the series resistance is estimated directly from the impedance spectra of the MOS devices. Subsequently, the dispersion characteristics are numerically analyzed on the basis of a statistical model. Lastly, the process-dependent interface trap density of Pr2O3 is evaluated. It is concluded that high-pressure annealing and a superior quality interfacial SiO2 layer are of crucial importance for achieving a sufficiently low interface trap density.  相似文献   

9.
We have fabricated thin catalytic metal–insulator–silicon carbide based structure with palladium (Pd) gates using TiO2 as the dielectric. The temperature stability of the capacitor is of critical importance for use in the fabrication of electronics for deployment in extreme environments. We have evaluated the response to temperatures in excess of 450 °C in air and observed that the characteristics are stable. Results of high temperature characterization are presented here with extraction of interface state density up to 650 °C. The results show that at temperatures below 400 °C the capacitors are stable, with a density of interface traps of approximately 6×1011 cm2 eV−1. Above this temperature the CV and GV characteristics show the influence of a second set of traps, with a density around 1×1013 cm2 eV−1, which is close to that observed for slow states near the conduction band edge. The study of breakdown field as a function of temperature shows two distinct regions, below 300 °C where the breakdown voltage has a strong temperature dependence and above 300, where it is weaker. We hypothesize that the oxide layer dominates the breakdown voltage at low temperature and the TiO2 layer above 300 °C. These results at high temperatures confirms the suitability of the Pd/TiO2/SiO2/SiC capacitor structure for stable operation in high temperature environments.  相似文献   

10.
The effect of a thin Si layer insertion at W/La2O3 interface on the electrical characteristics of MOS capacitors and transistors is investigated. A suppression in the EOT increase can be obtained with Si insertion, indicating the inhibition of diffusion of oxygen atoms into La2O3 layer by forming an amorphous La-silicate layer at the W/La2O3 interface. In addition, positive shifts in Vfb and Vth caused by Si insertion implies the formation of amorphous La-silicate layer at the top of La2O3 dielectrics reduces the positive fixed charges induced by the metal electrode. Consequently, a large improvement in mobility has been confirmed for both at peak value and at high Eeff of 1 MV/cm with Si inserted nFETs. Although a degradation trend on EOT scaling has been observed, the insertion of thin Si layer is effective in pushing the scaling limit.  相似文献   

11.
We report the effect of annealing on electrical and physical characteristics of HfO2, HfSixOy and HfOyNz gate oxide films on Si. Having the largest thickness change of 0.3 nm after post deposition annealing (PDA), HfOyNz shows the lowest leakage current. It was found for both as-grown and annealed structures that Poole-Frenkel conduction is dominant at low field while Fowler-Nordheim tunneling in high field. Spectroscopic ellipsometry measurement revealed that the PDA process decreases the bandgap of the dielectric layers. We found that a decreasing of peak intensity in the middle HfOyNz layer as measured by Tof-SIMS may suggest the movement of N toward the interface region between the HfOyNz layer and the Si substrate during the annealing process.  相似文献   

12.
This paper reports on an investigation of interface state densities, low frequency noise and electron mobility in surface channel In0.53Ga0.47As n-MOSFETs with a ZrO2 gate dielectric. Interface state density values of Dit ∼ 5 × 1012 cm−2 eV−1 were extracted using sub-threshold slope analysis and charge pumping technique. The same order of magnitude of trap density was found from low frequency noise measurements. A peak effective electron mobility of 1200 cm2/Vs has been achieved. For these surface channel In0.53Ga0.47As n-MOSFETs, it was found that η parameter, an empirical parameter used to calculate the effective electric field, was ∼0.55, and is to be comparable to the standard value found in Si device.  相似文献   

13.
AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistors (MOSHFETs) with Al2O3 gate oxide which was deposited by atomic layer deposition (ALD) were fabricated and their performance was then compared with that of AlGaN/GaN MOSHFETs with HfO2 gate oxide. The capacitance (C)-voltage (V) curve of the Al2O3/GaN MOS diodes showed a lower hysteresis and lower interface state density than the C-V curve of the HfO2/GaN diodes, indicating better quality of the Al2O3/GaN interface. The saturation of drain current in the ID-VGS relation of the Al2O3 AlGaN/GaN MOSHFETs was not as pronounced as that of the HfO2 AlGaN/GaN MOSHFETs. The gate leakage current of the Al2O3 MOSHFET was five to eight orders of magnitude smaller than that of the HfO2 MOSHFETs.  相似文献   

14.
We fabricated high performance gate-last TaN/La2O3/SiO2 on Ge n-MOSFET. Small equivalent-oxide-thickness (EOT) of 1.9-nm and high-field mobility of 258 cm2/V s at 0.75 MV/cm were obtained, which were attributed to the thin SiO2-like barrier layer and low process temperature to prevent interfacial reaction during post-deposition annealing (PDA).  相似文献   

15.
Effective metal work function, Φm,eff, and oxide charge, Qox, were determined on MOS capacitors with slanted high-κ dielectric. Φm,eff and Qox were extracted using flat-band voltage shift versus equivalent oxide thickness data, both deduced from the capacitance–voltage measurements. Slanted HfSiOx dielectric (initial thickness was 9 nm) was prepared by gradual etching in HF-based solution. As a metal electrode, thin Ru-films were deposited by MOCVD-derived technique—Atomic Vapor Deposition® on the slanted HfSiOx as well as SiO2 dielectrics. The Φm,eff of Ru was found to be 4.74 and 4.81 eV for Ru/HfSiOx and Ru/SiO2 gate stacks, respectively. Ultraviolet photoelectron spectroscopy yields the work function of 4.62 eV in agreement with the capacitance–voltage data. We also studied the I–V characteristics of the Ru/HfSiOx/Si MOS capacitors. The barrier height was found to be constant within the HfSiOx bulk.  相似文献   

16.
This paper presents for the first time the work function extraction for chemical vapor deposition (CVD)-TiN and poly-Si work functions on atomic layer deposition (ALD)-HfO2 and high temperature SiO2 (HTO) for a wide range of EOT values. The measurements were performed on bevel oxide structures with various SiO2 thicknesses from 0 to 12 nm. Our results reveal that the work functions of both TiN and poly-Si gates highly depend on the underlying dielectrics especially in the case of TiN on HTO films. It is found that the leakage current also depends on the dielectric stacks. When TiN is formed on the HTO film, its work function has two distinct values depending on the HTO thickness; this indicates that TiSi bonds strongly affect the work function variation. Both TiN on HTO and poly-Si on HfO2 show the work function shifts to about 4.3 eV, suggesting a pinning level in both structures.  相似文献   

17.
Novel gate stacks with epitaxial gadolinium oxide (Gd2O3) high-k dielectrics and fully silicided (FUSI) nickel silicide (NiSi) gate electrodes are investigated. Ultra-low leakage current densities down to 10–7 A cm–2 are observed at a capacitance equivalent oxide thickness of CET=1.8 nm. The influence of a titanium nitride (TiN) capping layer during silicidation is studied. Furthermore, films with an ultra-thin CET of 0.86 nm at a Gd2O3 thickness of 3.1 nm yield current densities down to 0.5 A cm−2 at Vg=+1 V. The extracted dielectric constant for these gate stacks ranges from k=13 to 14. These results emphasize the potential of NiSi/Gd2O3 gate stacks for future material-based scaling of CMOS technology.  相似文献   

18.
Spectroscopic ellipsometry (SE) with photon energy 0.75–6.5 eV at room temperature has been used to derive the optical properties of high-k ZrO2 thin films on Si(1 0 0) substrates prepared by nitrogen-assisted, direct current reactive magnetron sputtering. The Tauc–Lorentz dispersion method was adopted to model the optical dispersion functions of the thin films as a function of annealing temperature. Excellent agreement has been found between the SE fitting results and X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Fourier transform infrared spectroscopy (FTIR) results, indicating that our model adequately described the measured SE data. Optical band gaps (Eg) were also obtained based on the extracted absorption edge. Our results suggest that nitrogen-assisted process can effectively limit the interfacial layer growth in high-k oxides.  相似文献   

19.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

20.
Low-frequency noise was characterized in Si0.7Ge0.3 surface channel pMOSFETs with ALD Al2O3/HfO2/Al2O3 stacks as gate dielectrics. The influences of surface treatment prior to ALD processing and thickness of the Al2O3 layer at the channel interface were investigated. The noise was of the 1/f type and could be modeled as a sum of a Hooge mobility fluctuation noise component and a number fluctuation noise component. Mobility fluctuation noise dominated the 1/f noise in strong inversion, but the number fluctuation noise component, mainly originating from traps in HfO2, also contributed closer to threshold and in weak inversion. The number fluctuation noise component was negligibly small in a device with a 2 nm thick Al2O3 layer at the SiGe channel interface, which reduced the average 1/f noise by a factor of two and decreased the device-to-device variations.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号