首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 435 毫秒
1.
一种基于FPGA的SPWM波的实时生成方法   总被引:1,自引:0,他引:1  
文中基于FPGA设计了一种新型的三相SPWM波的实时生成方法.该方法以Xilinx公司的Spartan-3E系列FPGA芯片XC3SS00E作为控制核心,结合直接数字频率合成技术(DDS),利用VHDL语言实时生成三相SPWM波形.通过三个相位互差120°的正弦调制波与一个三角载波进行比较来产生三相SPWM脉冲信号,由两者的交点来确定逆变器开关时刻,其中载波频率、载波比以及死区时间可变,使牛成的三相SPWM波适应性强.通过Modesim和数字示波器验证了利用IWGA实时生成三相SPWM波的町行性,为该方法进一步应用提供了一个良好的开放平台.  相似文献   

2.
基于FPGA的高精度数字化正弦信号生成的新方法   总被引:1,自引:1,他引:0  
提出了一种基于FPGA的高精度数字化正弦调制信号生成的新方法;该方法利用查表和线性插值相结合的办法,实时生成高精度正弦调制信号;首先介绍了新方法的基本原理及FPGA实现方案,然后对该方法进行了模型误差和量化误差分析,给出系统设计参考依据;最后,利用新方法产生500Hz的正弦调制信号对频率为13.552kHz三角载波进行调制生成SPWM波形,给出实验结果.  相似文献   

3.
基于Verilog HDL的SPWM全数字算法的FPGA实现   总被引:1,自引:0,他引:1  
在详细阐述正弦脉宽调制算法的基础上,结合DDS技术,以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。通过逻辑分析仪和数字存储示波器得到了验证,为该技术进一步应用和推广提供了一个良好的开放平台。  相似文献   

4.
针对传统的逆变电路,介绍了利用TI公司的DSP专用芯片TMS320F28335,通过不对称规则采样法来采样来输出三相SPWM波,并给出了部分源代码和实验结果。实验结果表明,该方法生成的SPWM波能满足逆变系统的需要,具有高效、简洁、实时性强等优点。  相似文献   

5.
本文介绍了载波移相技术的SPWM算法,由于级联型高压变频器产生的SPWM控制信号至少15路以上,显然一块DSP是不可能实现的,本文利用DSP与FPGA相配合来产生SPWM。通过变频器带电机实验,验证了该方法的可行性。  相似文献   

6.
基于面积等效法的SPWM发生器的设计   总被引:1,自引:0,他引:1  
依据冲量效果不变理论,本文提出了采用直接面积等效法计算三相SPWM波的方法,并基于FPGA设计了SPWM发生器.电路简单可靠,编程方便.仿真结果表明用该方法所产生的PWM波形精度高,并且输出波形的频率可变,能很好地满足变频调速系统的要求.  相似文献   

7.
三相SPWM波的软件生成及应用研究   总被引:1,自引:0,他引:1  
提出了一种软件生成三相SPWM波的新方法,首先,阐述了对称规则采样法和基准正弦函数法;然后,介绍了一个通用变频器的应用实例及生成三相SPWM的程序,实验结果显示,该变频器能满足实际应用的要求。  相似文献   

8.
基于FPGA的SPWM实现研究   总被引:2,自引:0,他引:2  
分析了不对称规则法产生SPWM波的基本原理,在此基础上研究了利用FPGA器件得到SPWM波输出的实现方法,给出了工作流程模块,通过实验验证了该方案的可行性。  相似文献   

9.
提出了一个基于FPGA的交流电动机伺服控制系统的设计方案.该伺服控制系统利用SPWM原理进行控制,通过驱动三相逆变器,从而达到控制三相交流电动机转速的目的.实验结果验证了该交流电动机伺服控制系统的可行性.  相似文献   

10.
基于FPGA的SPWM变频系统研究   总被引:1,自引:0,他引:1  
文章描述了以FPGA为控制核心,通过使用直接数字频率合成技术实现SPWM变频调速的方案。经实际生产证明,该方案结构合理、设计简化,可以产生稳定的SPWM信号,频率分辨率高、切换速度快。  相似文献   

11.
徐翠珠  马瑞卿  赵犇 《测控技术》2011,30(10):53-56
设计了一种航空陀螺电机三相交流逆变电源.以dsPIC30F2010微控制器为控制核心,采用前级为Boost变换器、后级为三相桥逆变器的串联组合式功率变换结构,通过载波频率为24 kHz的高频SP-WM波形调制,实现了陀螺电机的软启动和电压电流双闭环数字PI控制,给出了相关控制电路和控制方法.实验表明:输出的正弦波幅值精...  相似文献   

12.
本文阐述通过直接数字频率合成技术(DDS)用FPGA设计三角载波移相PWM波形的原理和要点,使多电平逆变器控制电路实现全数字化控制,改进了传统设计三角载波移相PWM的方法。实验表明该方案结构合理,具有较高的频率分辨率,可以实现快速频率切换,并且在改变时能够保证相位连续,易于大规模多电平级联电路的控制设计。  相似文献   

13.
介绍了一种高速实时数据采集系统的设计.该系统以FPGA作为逻辑控制的核心,以USB2.0作为与上位机数据传输的接口,能同时支持单端16路和差分8路模拟信号输入,最大采样率为200kHz,12位的转换精度.描述了系统的主要组成和FPGA模块化设计的实现方法,并给出了其核心模块的时序仿真波形图.  相似文献   

14.
低成本逆变器正弦脉宽调制方法研究   总被引:1,自引:0,他引:1  
本文采用一种低成本逆变器实现三相交流异步电机正弦脉宽调制,通过简化传统逆变电路结构,控制产品的生产成本,并在分析三相交流电机和两相电机结构和工作原理的基础上,阐述采用此逆变器实现正弦脉宽调制的原理。实验结果表明该方法是可行的。  相似文献   

15.
SPWM的FPGA实现方法   总被引:3,自引:0,他引:3  
本文主要描述利用FPGA现场可编程门阵列器件作为控制核心,结合DDS数字频率合成技术直接形成SPWM脉宽调制波。首先将一个周期的正弦函数进行1024点的离散,并将离散后的数据按顺序存储到FPGA的RAM中。然后使用VHDL语言编程实现可逆计数器,利用可逆计数器完成三角函数的上升与下降,形成一个完整的三角函数,频率为正弦周期的N倍,并可调。其次将同一时刻的正弦函数值与三角函数制比较(即正弦调制),形成一路脉冲调制波。最后为防止同相桥臂功率器件的同时导通,采用按时关断、延时开通的单边不对称设置完成调制脉冲波的死区延时,形成最终的SPWM脉宽调制波,并用于电力电子逆变装置,使得系统设计简化,提高设备的可靠性。  相似文献   

16.
基于FPGA的数字滤波系统设计   总被引:1,自引:0,他引:1  
为研究基于FPGA的数字滤波系统的设计与实现过程,根据数字滤波系统的基本工作原理在芯片内部设计了模数转换采样控制、基于分布式算法的FIR滤波器以及基于FFT IP核应用的频谱分析电路等功能模块,并给出了QUARTUS Ⅱ平台上的系统设计时序仿真波形.实验结果表明,相比于一般实现方法,基于FPGA实现的数字滤波系统具有高速灵活的优点,FPCA可广泛应用于高速数字信号处理领域.  相似文献   

17.
DSP生成SPWM波的一种设计方法   总被引:1,自引:0,他引:1  
介绍了TMS320F2407A数字信号处理芯片生成SPWM波,采用等面积算法.先分析了等面积法生成SPWM波算法原理,然后运用TMS320F2407芯片进行波形实现,产生的SPWM波,具有速度快、精度高、对称性好等优点.  相似文献   

18.
武琼  王果  姜兴宇  汪乾韬 《测控技术》2019,38(11):126-131
为了验证逆变电路中不同调制技术的输出特性,基于三相桥式逆变电路,对几种恒频调制技术进行对比分析。针对基本三相桥式逆变电路和三相桥式MMC(Modular Multilevel Converter)电路,采用PWM、SVPWM、载波移相、载波层叠及最近电平逼近调制(Nearest Level Modulation,NLM)等恒频调制技术对其进行控制,搭建Matlab/Simulink仿真,仿真分析不同调制方法下输出线电压波形,对直流电压利用率、总谐波畸变率(Total Harmonics Distortion,THD)和功率损耗进行分析比较。仿真结果表明,当拓扑为基本三相桥式逆变电路时,除NLM外,各调制方法均能满足电能质量国家标准THD规定,但直流电压利用率相差较大;当拓扑为三相桥式MMC电路时,分别采用载波移相、载波层叠及NLM实现了七电平MMC的调制。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号