首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 421 毫秒
1.
FPGA实现任意波形发生器   总被引:1,自引:0,他引:1  
为研究可控频率且稳定的简单波形信号,介绍了一种利用现场可编程门阵列(FPGA)实现基于直接数字频率合成(DDS)技术的任意波形发生器(AWG)。以SEED-XDTKFPGA实验箱为系统平台,搭建任意波形发生器系统,用硬件描述语言(VerilogHDL)编程实现DDS部分。通过在ModelSim环境下仿真,得到正弦波、锯齿波和方波波形,在数字示波器上得到频率为1.5625MHz正弦波形,在信号处理中具有更好的实用价值。  相似文献   

2.
基于VXI总线的新型任意波形发生器的设计   总被引:4,自引:0,他引:4  
基VXI总线的任意波形发生器是随着计算机技术和微电子技术在测试仪器中的应用而形成和发展起来的一类新型测试仪器。任意波形发生器的价值在于它能真正产生任意波形。文中提出了一种新型的任意波形发生器结构,介绍了基于VXI总线C尺寸任意波形发生器设计的全过程,开发了VXI总线寄存器接口,用DDS技术设计了功能电路、开发了AWG的软面 板、应用控制程序和仪器驱动器。所设计的任意波形发生器块已应用于模块化雷达自动测试设备中,提供测试系统所需要的任何波形激励信号。  相似文献   

3.
介绍了一种基于PC机、单片机、FPGA和数字频率合成技术(DDS)的任意波信号发生器的设计方法;其中基于FPGA的DDS模块电路采用Verilog HDL语言和原理图相结合的方式设计,上位机的信号源面板以及波形编辑生成系统则基于LabVIEW图形化语言设计;基于FPGA的任意波形发生器,可以在不改变硬件平台的情况下,随时对信号源系统进行重构或升级,使得应用非常灵活和方便;特别是可以通过USB2.0接口和PC机连接,使得任意波的产生更加方便和快捷;实验结果表明整个设计可以产生0.01Hz~10MHz的任意波及正弦波、方波、三角波等常规的函数信号。  相似文献   

4.
利用LabWindows/CVI虚拟测控软件具有的超强实时数据采集和处理能力以及丰富的图形用户界面设计功能,开发了一个任意波形发生器编辑软件系统。介绍了一种用AVR系列单片机ATmega8来控制FPGA实现的DDS电路,并用SRAM取代ROM中的任意一种波形信号发生器硬件的设计。用这种方法设计的波形发生器结构简单、造价成本低,且信号源输出信号的分辨率高。  相似文献   

5.
DDS(直接频率合成)技术的基本原理是基于取样技术和计算技术,因其频率分辨率高、输出频率切换速度快等优点,被广泛应用于任意波形发生器的设计。但现有的波形存储器(RAM芯片)读写速度不高,因而大大限制了基于DDS技术的任意波形发生器直接输出频率的范围。针对这一现状,本文提出了并串转换的方法来改进DDS技术,克服RAM读取速度低的问题,从而提高了任意波形发生器的采样率。  相似文献   

6.
介绍了一种基于PC 机、FPGA 和数字频率合成技术(DDS)的隔离型任意波信号发生器的设计方法;利用LabVIEW 虚拟仪器设计上位机软件控制平台,利用基于FPGA 的DDS 技术实现下位机硬件设计,通过局域网将软硬件平台连接起来构成具有64路有独立地线系统的模拟信号源,输出频率(DC)达8 kHz,幅度0~70 V。  相似文献   

7.
基于FPGA的DDS研究与设计   总被引:1,自引:0,他引:1  
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,通过EDA开发软件,在线编译DDS信号源设计文件到FPGA开发板上,得出一个频率、相位可调的止弦信号发牛器系统模块.经过设计和电路测试,输出波形达到了技术要求.基于FPGA的DDS信号源,只要改变存储波形信息的ROM数据,就可以灵活地实现任意波形发生器.  相似文献   

8.
轻便浅层地震可控震源是一种用于浅层地震勘探的激震设备,其主要作用是根据参数向地下介质输出严格控制的Chirp信号。文章针对其输出扫描信号的特点和要求,进一步探讨了基于直接数字合成(DDS)的任意波形发生器(AWG)的设计和扫描信号相位实时控制等问题。并将文中所述系统进行了野外对比实验,取得了令人满意的地质效果。  相似文献   

9.
基于Nios II与LabVIEW的任意波形发生器实现   总被引:1,自引:0,他引:1  
以Altera公司的EP1C12芯片为SOPC系统的载体,介绍了基于嵌入式软核处理器NiosII的DDS任意波形发生器的设计和实现。  相似文献   

10.
基于DDS技术的任意波发生器   总被引:4,自引:0,他引:4  
本文给出了基于DDS技术的VXI系统平台上任意波形发生器功能电路的一种设计方法。介绍了DDS技术在波形产生功能电路中的应用,推出了利用DAC实现高精度线性程控的一种方法。最后简要说明了仪器软件的实现方式及主要功能。  相似文献   

11.
相位噪声是DDS(直接频率合成器)的一个重要测量指标。介绍了基于虚拟仪器技术,把各个测量仪器有机地组建成DDS相位噪声的自动测量平台。通过高速数字DIO卡对DDS内部各个控制寄存器进行配置,调节DDS输出波形的频率,利用信号分析仪的相位噪声测量软件对DDS输出波形的相位噪声进行测量,最后基于Labview语言和NI公司的Digital Waveform Editor数字波形编辑软件开发了DDS相位噪声的自动测量软件。该方案也可用于DDS其它特性参数的测试。  相似文献   

12.
针对目前测量分析、弱信号检测的需求,以STM32F107和AD9958为核心实现了一种高分辨率幅度、频率、相位的双路信号源。首先对直接数字合成(Direct Digital Synthesis,DDS)工作原理进行介绍,重点分析了杂散的主要来源,并设计LC椭圆低通滤波器对杂散进行抑制。设计输出电路使信号源满足低频需求,并可直接用于驱动负载。最后采用STM32F107和VC6.0搭建了配置平台,对信号源波形灵活控制。  相似文献   

13.
针对波形发生器的便携性设计考虑,提出了一种基于FPGA和sD卡的任意波形发生器设计。设计中SD卡作为存储介质,ZBTSRAM作为SD卡与波形发生器之间的缓存,由FPGA作为主控制器控制外围器件并完成直接数据波形的合成,最后经过数模转换器以及信号处理完成模拟波形的生成。  相似文献   

14.
采用直接数字频率合成(DDS)芯片AD9850,设计了基于双单片机AT89S52的正弦信号源电路。该信号源能输出频率可调正弦信号、模拟幅度调制(AM)信号、二进制PSK信号和二进制ASK信号。  相似文献   

15.
简单介绍了直接数字合成(DDS)技术的基本原理和两种主要的实现方式,并比较了相位累加和波形存储两种实现方式的优缺点。通过MATLAB/SIMULINK仿真,定量化分析了波形存储方式影响DDS输出中频Chirp信号质量的主要指标,其中包括DDS采样频率与输出信号带宽的比值、数据精度与模数转换器(DAC)精度、低通滤波器与正交合成器的各项指标。仿真结果表明,DDS模块中各单元技术指标的性能变化均能对输出信号的频谱产生影响。在对各种主要参数进行充分分析的基础上,最后提出了波形存储式DDS的优化设计策略。  相似文献   

16.
基于EPP和DDS技术的虚拟双通道任意波形发生器的设计   总被引:2,自引:2,他引:0  
由于在现代诸多应用领域对测控信号的要求越来越高,文章提出了一种基于计算机的增强性并口(EPP)和直接数字频率合成(DDS)技术的虚拟双通道任意波形发生器的设计方法。详细讨论了FPGA器件在EPP接口和DDS技术实现时的具体应用,并且分析了波形发生器的软件设计和性能。实验表明,此波形发生器产生的信号频率范围广,分辨率高,具有一定的实用价值。  相似文献   

17.
直接数字频率合成(DDS)技术是一种新型的频率合成技术,它具有较高的频率分辨率,能快速实现频率切换,又能在频率改变时保证相位的连续性。但是,专用的DDS集成芯片输出波形及频率范围通常是固定的。在研究专用DDS电路构成的基础上,对专用DDS的电路结构进行了扩展,增加了数据分配器和存储不同波形数据的ROM及外围控制电路模块,在大规模可编程FPGA芯片上实现了波形可编程、频率可编程的多模信号变频系统。该变频系统能够实现正弦波、三角波、锯齿波、方波等波形的选择及每种波形频率的变换。系统将PLL倍频、分频电路、数据选择器、数据分配器、频率字输入模块、DDS信号发生器、键控等模块集成在一块可编程FPGA芯片上,这在很大程度上提高了多模变频信号电路的集成度和可靠性。由于FPGA的系统可编程特性,系统实现的参数可通过现场编程调整,增加了电路适配的灵活性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号