首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到15条相似文献,搜索用时 109 毫秒
1.
刘继磊  任虹霞  王新民  王冰 《微电机》2011,44(11):80-83
介绍了一种基于FPGA+CY7C68013的USB通信模块及其在伺服系统中的应用,给出了以USB2.0接口芯片CY7C68013同步SlaveFIFO读写模式为基础,现场可编程门阵列(FPGA)为状态控制芯片的硬件方案。通过编制固件程序和verilog程序,成功实现了以USB2.0为接口的伺服驱动器与上位机(PC)之间的高速数据传输。最后运用上位机Cypress USB Console软件进行测试,结果表明系统具有数据传输准确、速度快等优点。  相似文献   

2.
黄智宇  唐乐  陈倍新  苏岭 《电源技术》2013,37(1):107-110
为解决动力电池评估参数数据采集的实时性、准确性问题,提出了基于FPGA和USB2.0的电池管理系统数据采集方案。系统采用FPGA作为主控制器控制A/D转换和USB传输,并完成USB固件程序、USB设备驱动程序开发。测试结果表明该系统具有速度快、即插即用、易于扩展等特点,提高了数据采集的速度和精度,有利于电池管理系统对电池状态进行实时可靠的评估。  相似文献   

3.
USB2.0在DSP调试系统中的应用设计   总被引:2,自引:1,他引:1  
为了实现DSP调试系统中数据和指令在DSP和主机之间的传输,利用EZ-USB FX2芯片,设计了一个满足DSP调试系统应用需求的数据传输系统。重点介绍了FX2芯片结构和Slave FIFO,并进一步给出了硬件电路、USB固件程序、驱动程序以及主机应用程序的设计方法。实践结果表明,该系统运行稳定可靠,具有较高的应用价值。  相似文献   

4.
描述了一个基于PC和FPGA通信为主要开发目标的USB接口传输系统及解决方案。采用Cypress的CY7C67300USB控制器作为硬件芯片,并通过Cypress自主研发的软件来设计此系统固件程序。最终实现PC与FPGA的通信,达到系统设计目标。  相似文献   

5.
基于USB和FPGA实现的高速光子符合仪   总被引:2,自引:1,他引:1  
本文基于USB2.0高速接口、大规模可编程器件FPGA及高速ECL逻辑电路,实现了一种高速多通道的光子符合设备。此设备能够同时统计八通道单光子检测器的所有可能的255种符合事件,且平均处理速度可达到每秒12.5M事件。在此速度下,可实现事件的间隔在13ns时不丢失的记录下来。同时,可利用高速USB接口,把采集到的原始通道数据直接送往主机进行存储、处理或实时监测统计结果。也可以利用FPGA先做预处理,然后再将预处理后的事件数据送往主机进行处理、分析或存储。  相似文献   

6.
一种基于FPGA的UART电路设计   总被引:5,自引:0,他引:5  
介绍了一种基于FPGA的UART电路实现方法,采用有限状态机设计了发送模块和接收模块,给出了系统的功能仿真结果,验证了系统设计的正确性。整个UART接口电路结构简单、功能升级方便、工作稳定可靠,可应用于各种具有UART接口的硬件电路系统。  相似文献   

7.
在以ARM为主控核心、单片机为辅助控制器、FPGA为数字载体的手持式存储示波器研制中,采用Philips公司的USB接口芯片PDIUSBD12实现USB通信,提出了多处理器系统中通信的实现方法及软件设计过程,实验表明该设计是切实可行的,并成功应用于和PC机的通信。  相似文献   

8.
为了克服单片机固有的缺陷,并使程序设计易读、易扩展,提出了一种基于FPGA+SJA1000方案的CAN总线通信实现方法。该方法使用状态机设计CAN总线的初始化过程和数据收发过程,并有独立的数据通道状态机单元满足SJA1000读写时序的要求。测试结果表明,该方案可以稳定可靠地实现CAN总线通信。与传统的单片机方案相比,该方案扩展性好、稳定性高,并降低了体积、重量和功耗;与现有的FPGA方案相比,程序设计易读、易扩展,当双方通信协议发生变化时,只需修改相应的状态机便可适应新的协议要求,具有很高的灵活性。  相似文献   

9.
为适应通信系统的全数字自动化控制和硬件逐渐向软件化发展的趋势,提出了一种基于FPGA(现场可编程逻辑门阵列)的UART设计与实现方案。整个UART模块采用Verilog HDL硬件描述语言进行编写,其中接收和发送模块采用有限状态机来完成,并在ISE环境下进行综合建模仿真,给出各个子模块和总模块的仿真时序图以及综合生成的RTL图。同时利用Xilinx公司的FPGA开发板对程序进行下载运行调试,结果表明整个UART模块运行稳定可靠,较好地实现了数据之间的并行和串行转换,达到了预期的设计要求。  相似文献   

10.
PCI总线以其高性能、线性突发传输和即插即用的优点获得广泛应用,从而取代了早先的ISA和EISA总线,成为一种标准总线。本文介绍了一种基于FPGA的PCI目标接口的设计方法及其实现,根据PCI2.3对目标接口状态机进行了细化并给出了配置空间等模块的设计。  相似文献   

11.
本文主要介绍了一种基于FPGA和USB数据传输电路的设计方法。文中首先对系统的整体框架进行了介绍,然后对系统各个模块的设计与实现进行详细的阐述,最后验证了结合FPGA和USB进行数据传输的可行性。  相似文献   

12.
USB2.0接口传输的FPGA控制与实现   总被引:3,自引:1,他引:3  
为解决PC与FPAG的高速数据传输,通过USB实现了PC和FPGA的通信。介绍了USB接口芯片CY7C68013的基本工作原理;编写了USB固件程序和应用程序;利用从属FIFO方式,实现了数据的快速读写。测试结果表明,利用FPGA控制USB器件CY7C68013可实现高速数据传输,传输数据可靠性高。该方案完全可满足各种高速数据采集系统、移动硬盘、ATA和DSI。调制解调器等接口的需要。  相似文献   

13.
14.
王晓利  龙兵  李力 《电子测量技术》2011,34(10):57-59,68
从系统硬件设计和软件设计2个方面阐述了USB数字I/O设备的设计方法.本次设计依据USB 2.0通信协议,以FPGA逻辑为核心控制器件,实现与USB接口芯片CY7C68013的通信控制,多通道数据的存储、读取、发送和采集.较为详细的论述了该设备的软硬件设计及实现,介绍了整体设计思路、硬件总体架构和软件流程,并且对程序进...  相似文献   

15.
USB2.0通信协议复杂、开发难度较大,对项目中采用USB2.0传输协议带来了困难.提出了一种基于FX2与FPGA联用实现USB2.0通信方法,介绍在SLAVE FIFO模式下FX2与FPGA协同工作的原理,并依此方案使用了硬件描述语言令功能得以实现,同时FPGA与用户的接口使用两个异步FIFO用于暂存发送和接收的数据,用户只需对两个FIFO进行读写即可使得FPGA与FX2联用的系统完成USB2.0协议通信,并且用户可以自行选择发送和接收数据时所用的时钟,在解决信号跨时钟域的同时增强模块可移植性和通用性.最后使用经过与Cypress上位机软件的通信测试,证明本方法切实可行.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号