首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 625 毫秒
1.
验证是SoC(系统芯片)设计的重要环节,FPGA原型验证平台能以实时的方式进行软硬件协同验证,缩短SoC的开发周期,验证系统级芯片软硬件设计的正确性,降低SoC系统的开发成本。本文介绍了基于ARM7TDMI处理器核的SoC芯片设计项目,提出相应的FPGA软硬件协同设计与验证的方案,并在此SoC芯片开发过程中得以实施,取得良好效果。  相似文献   

2.
基于SoC设计的软硬件协同验证技术研究   总被引:2,自引:0,他引:2  
软硬件协同验证是SoC设计的核心技术。其主要目的是验证系统级芯片软硬件接口的功能和时序,验证系统级芯片软硬件设计的正确性,以及在芯片流片回来前开发应用软件。本文介绍了基于SoC设计的软硬件协同验证方法学原理及其验证流程。然后分析了SoC开发中采用的3种软硬件协同验证方案,ISS方案、CVE方案、FPGA/EMULATOR方案,对其验证速度、时间精度、调试性能、准备工作、价格成本、适用范围等各方面性能做出比较并提出应用建议。  相似文献   

3.
基于ARM7TDMI的SoC中MP3子系统的设计   总被引:1,自引:0,他引:1  
以信息系统作为目标直接优化软、硬件的片上系统(SoC)将大大节省软件和芯片资源,大大提高系统的集成度和性价比.文中主要介绍基于ARM7TDMI的面向多媒体的SoC中MP3子系统的优化设计.通过在SoC中增加多媒体加速器(MMA)模块和片上SRAM以及相关的软件优化方案,提出了一种基于低端精简指令集计算机(RISC)处理器核的面向多媒体应用(MP3)的SoC设计方法.该设计方法通过RTL验证,ADS(ARM Developer Suit)软件仿真,并通过MPW(Multi-Project Wafer)的流片已生产出实际芯片.在实际的芯片样机上得到了验证,达到了设计效果.  相似文献   

4.
软硬件协同验证是系统芯片设计的重要组成部分。针对基于32 Bit CPU核的某控制系统芯片的具体要求,提出了一种系统芯片软硬件协同验证策略,构建了一个软硬件协同验证环境。该环境利用处理器内核模型支持内核指令集的特性运行功能测试程序,实现SoC软硬件的同步调试,并能够快速定位软硬件的仿真错误点,有效提高了仿真效率。该SoC软硬件协同验证环境完成了设计目的,并对其他系统芯片设计具有一定的参考价值。  相似文献   

5.
虞致国  魏敬和 《电子与封装》2010,10(1):21-23,34
调试系统的设计和验证是多核SoC设计中的重要环节。基于某双核SoC的设计,提出一个片上硬件调试构架,利用FPGA构建该调试系统的硬件验证平台。双核SoC调试系统验证平台利用System Verilog DPI,将RealView调试器、Keil C51及目标芯片的验证testbench集成在一起,实现了双核SoC调试系统的RTL级调试验证。利用该平台,在RTL仿真验证阶段可方便地对ARM和8051核构成的双核SoC进行调试,解决仿真中出现的问题,从而有效缩短设计周期,并提高验证效率。该双核SoC调试系统验证平台的实现对其他系统芯片设计具有一定的参考价值。  相似文献   

6.
SoC嵌入式flash存储器的内建自测试设计   总被引:1,自引:1,他引:0  
深亚微米技术背景下,嵌入式存储器在片上系统芯片(system-on-a-chip,SoC)中占有越来越多的芯片面积.嵌入式存储器的测试正面临诸多新的挑战。本文论述了两种适合SoC芯片中嵌入式flash存储器的内建自测试设计方案。详细讨论了专用硬件方式内建自测试的设计及其实现,并且提出了一种新型的软硬协同方式的内建自测试设计。这种新型的测试方案目标在于结合专用硬件方式内建自测试方案并有效利用SoC芯片上现有的资源,以保证满足测试过程中的功耗限制,同时在测试时间和芯片面积占用及性能之间寻求平衡。最后对两种方案的优缺点进行了分析对比。  相似文献   

7.
CPU/SoC/MCU28nm定制芯片平台:定制芯片平台LSI公司推出28nm定制芯片平台,囊括了一系列丰富的IP块和定制片上系统(SoC)的高级设计方法。该28nm定制芯片平台采用台积电28HP高介电层金属闸工艺技术,使客户能够实现较高SoC集成度,大幅提  相似文献   

8.
王光 《现代电子技术》2009,32(17):191-193
以超深亚微米工艺和IP核复用技术为支撑的系统芯片(SoC)技术,是目前超大规模集成电路和嵌入式电子产品设计的主流.SoC中各IP核之间的片上通信体系结构是SoC设计关键技术之一,同时对SoC的性能起着至关重要的作用.提出一种SoC中的混合片上通信体系结构,该体系结构将传统的共享总线与片上网络相结合,既保留了片上共享总线面积小的优点,又具有片上网络的并行通信的优点.此外,该混合片上通信还可以扩展到二维网络.  相似文献   

9.
混合信号系统级芯片仿真   总被引:1,自引:1,他引:0  
1 SoC设计方法的变革SoC芯片已经由数字SoC全面转向混合信号SoC,混合信号SoC中整合了复杂的数字处理器、存储器、数字逻辑、IP、高性能的模拟和混合信号功能、通讯协议、加解密算法、驱动程序、实时操作系统以及应用程序等。因而混合信号SoC成为真正意义上的系统级芯片。混合信号SoC设计中芯片的仿真和验证将成为芯片设计的关键。基于平台的设计(PBD)理念成为SoC致胜的法宝,基于平台的设计方法在进一步光大TDD和BBD确保设计质量、提升设计生产力的同时更加关注广泛的设计复用以及设计层次化。系统级设计,抽象的设计描述,混…  相似文献   

10.
一款低功耗SoC芯片的时钟管理策略   总被引:3,自引:2,他引:1  
文章提出一种系统级和RTL级协同设计的时钟管理策略,显著地降低了时钟网络的动态功耗,弥补了现有工具只能在设计后期才能发挥作用的不足,达到降低整个SoC芯片功耗的目的;同时,分析该方案实现中可能存在的问题.并给出解决方案。  相似文献   

11.
SoC是含有微处理器、外围电路等的超大规模集成电路,具有器件特征尺寸小、复杂度高、面积大、数模混合等特点,SoC的ESD设计成为设计师面临的一个新的设计挑战。文章详细介绍了一个复杂的多电源、混合电压专用SoC芯片的全芯片ESD设计方案,并结合电路特点仔细分析了SoC芯片ESD设计的难点,提出了先工艺、再器件、再电路三个层次的分析思路,并将芯片ESD总体解决方案中的关键设计重点进行了逐一分析,最后给出了全芯片ESD防护架构的示意图。该SoC芯片基于0.35μm 2P4M Polycide混合信号CMOS工艺流片,采用文中提出的全芯片ESD防护架构,使该芯片的HBM ESD等级达到了4kV。  相似文献   

12.
Integrating the entire system on a chip (SoC) is one of the main challenges for many researchers all over the world. One of the major breakthroughs toward achieving this goal has been the ability to manufacture multiple gate oxides for different requirements on the same chip. The most attractive of the techniques currently in the literature is the implantation of nitrogen in silicon, which can be used to achieve the goal of multiple gate oxide thickness. The rate of oxidation depends on the amount of nitrogen incorporated at the silicon/silicon oxide interface. By modulating the amount of nitrogen incorporated at the interface, the rate of oxidation and hence the oxide thickness can be moderated. This paper reviews the diffusion, oxidation, and device issues pertaining to the use of nitrogen implants in silicon and also compares it to other implant-based techniques related to the achievement of multiple oxide thickness across the chip for SoC integration.  相似文献   

13.
The spirit of system-on-chip (SoC) approach is to integrate more and more system functions into one single chip. Consequently, the on-chip clock requirement could be very complicated due to the various functions the chip has to support. To fulfill those clock needs, it is not uncommon for more than several phase-locked loop (PLLs) to be used within one such large chip. Designing these on-chip PLLs is a very challenging task in term of cost and performance. To solve this problem for a HDTV SoC of over 50 millions transistors, a ldquoflying-adderrdquo architecture based PLL (FAPLL) is constructed. This generic FAPLL is instantiated multiple times in this SoC for different functions, resulting in significant chip cost reduction.  相似文献   

14.
系统芯片SoC可以实现一个系统的功能,为了保证系统芯片的功能正确性与可靠性,在它的设计与制造的多个阶段必需进行测试。由于系统芯片的集成度高,结构和连接关系复杂,使得对它进行测试的难度越来越大,因此需要采用专门的测试结构。本文对系统芯片的可测性设计以及测试结构的设计方法等进行了介绍和综述。  相似文献   

15.
多媒体手持设备带有TV-out视频输出功能堪称一大亮点。TV-Out的使用简单便捷,只要把传输线一端接上手持设备的视频接口,再将另一端插入电视AV孔,与PAL或NTSC电视直接进行联机。以往要实现这项功能依靠的是外接一颗电视编码芯片,随着SoC设计的概念普及,越来越多的SoC芯片会将这个功能整合进来,所以提供一个好的电视编码控制器IP给芯片公司来设计SoC将成为主流。本文主要说明智原科技如何根据电视时序的要求设计电视编码控制器IP,以及如何在FPGA开发板上跟视频DAC测试样片做验证。  相似文献   

16.
可测试性设计技术是SoC设计中的一个重要技术.在设计8位SoC系统芯片时,不仅考虑到可测试性设计,而且还利用OCI模块上的JTAG接口.可以方便地与板级系统相结合,能够快速对芯片进行功能验证和系统调试,大大缩短产品的上市时间.  相似文献   

17.
大部分的系统级芯片(SoC)具有异步信号,基于自动测试系统(ATE)很难实现稳定的测试。通过外挂Flash芯片对被测SoC器件进行功能配置,自动测试系统对相应的功能进行搜索匹配,可以在自动测试系统上对SoC的异步输出信号进行稳定的测试。  相似文献   

18.
基于SystemC的AMBA总线模型的构建与验证   总被引:3,自引:3,他引:0  
针对SoC设计中的时间瓶颈,利用SystemC设计语言根据AMBA规范建立了事务级总线模型,并将MP3解码器和控制器作为主设备接入该模型,验证本模型的可用性与有效性,试验结果表明该模型可以有效地在系统层次对SoC芯片的集成进行设计验证,加快SoC系统的设计速度,且能做到时钟精确。  相似文献   

19.
哪种方式更能提高LST的附加值?是SiP(system in a package)还是SoC(system on a chip)?LSI厂家正对此进行激烈争论。作为系统集成的选择方式,LSI厂家一直集中力量致力于SoC的开发。但是LSI厂家发现,仅靠SoC这一条路线已不能满足用户的要求。目前,对于各大LSI厂家来说,要不要转换其发展资源的投入方向,需要当机立断。  相似文献   

20.
虞致国  魏敬和 《电子与封装》2010,10(2):20-22,34
随着SoC的复杂度和规模的不断增长,SoC的片上调试与可测性变得越来越困难和重要。片上调试与可测性都是系统芯片设计的重要组成部分。文章针对某款32位SoC,充分利用CPU核原有的调试结构,提出一种可测试系统与调试系统的一体化结构设计,并针对不同的模块利用不同的测试策略。基于JTAG端口,该结构能够进行系统程序的调试、边界扫描的测试、扫描链的测试、嵌入式SRAM的内建自测试,同时有效地降低了电路逻辑规模,实现了在测试覆盖率和测试代价之间的一个有效折衷。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号