首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Aerosol deposition (AD)-derived barium titanate (BTO) micropatterns are etched in SF6/O2/Ar plasmas using inductively coupled plasma (ICP) etching technology. The reaction mechanisms of the proposed sulfur hexafluoride on BTO thin films are verified through X-ray photoelectron spectroscopy (XPS) and static time-of-flight secondary ion mass spectrometry (ToF-SIMS) results. The exact peak positions and chemical shifts of Ba 3d, Ti 2p, O 1s, and F 1s are deduced by fitted XPS narrow-scan spectra on both the as-deposited and etched BTO surfaces. Compared to the as-deposited BTOs, the etched Ba 3d5/2, Ba 3d3/2, Ti 2p3/2, Ti 2p1/2, and O 1s peaks shift towards higher binding energy regions by amounts of 0.55, 0.45, 0.4, 0.35, and 0.76 eV, respectively. ToF-SIMS analysis is used to obtain elemental and molecular data for quantitatively studying the interaction between reactive gases and BTO. The combined use of these two techniques is to systematically investigate and analyze the sulfur hexafluoride-based BTO etching mechanisms.  相似文献   

2.
By exploiting the relatively high volatility of In etch products in CH4/H2 discharges, we were able to obtain a maximum selectivity for InGaP over GaAs of ∼20 at low ion energies and fluxes. Three different inert gas additives to CH4/H2 were examined, with Ar producing higher selectivities than He or Xe. This process is attractive for selective removal of the InGaP emitter in the fabrication of heterojunction bipolar transistors.  相似文献   

3.
In this study, we compared the C4F6 and C4F8 based plasma etching characteristics of silicon dioxide and ArF photoresist (PR) in a dual-frequency superimposed capacitively coupled plasma (DFS-CCP) etcher under different high- and low-frequency combinations (fHF/fLF), while varying the process parameters such as the dc self-bias voltage (Vdc), O2 flow, and CH2F2 flow rate in the C4F8/CH2F2/O2/Ar and C4F6/CH2F2/O2/Ar plasmas. The silicon oxide etch rates increased significantly in both chemistries with increasing fHF and O2 flow rate. The silicon oxide etch rates were higher in the C4F8/CH2F2/O2/Ar than in the C4F6/CH2F2/O2/Ar plasmas, but the PR etch rate was much higher in the C4F6/CH2F2/O2/Ar than in the C4F8/CH2F2/O2/Ar plasmas under the present experimental conditions. The slower oxide etch rate in the C4F6 based plasmas was attributed to the thicker steady-state fluorocarbon layer on the silicon oxide surface, while the faster PR etch rate in the C4F8 based plasmas was ascribed to the higher F radical density in the plasma.  相似文献   

4.
IBr/Ar plasmas were found to be promising candidates for room temperature dry etch processing of the III-V semiconductors GaAs, AlGaAs, GaSb, InP, InGaAs, and InSb. Results showed fast etch rates (~3,000Å/min) at high microwave power (1000W) and good surface morphology (typical root mean square roughness ~2 nm), while retaining the near-surface stoichiometry. There was little variation of surface smoothness over a wide range of plasma compositions for Gacontaining materials. By contrast, there was a plasma composition window of about 25–50% of IBr in IBr/Ar plasmas for maintaining good morphology of Incontaining semiconductors like InP. Etch rates of the semiconductors generally increased with microwave power (400-1000 W) and rf power (50-250 W), whereas there was little dependence of the rates on the increasing percentage of IBr in the IBr/Ar plasma composition above 30% IBr for In-based, and 50% IBr for Ga-based materials. Those results show the etch rates over 30% of IBr in IBr/ Ar are desorption-limited. Photoresist masks do not hold up well to the IBr under ECR conditions, resulting in poor profile control, whereas SiNx offers much better etch resistance.  相似文献   

5.
The purpose of this work is to describe an original process that has been designed for the fabrication of a microfluidic converter. The fabrication is based on deep reactive ion etching of silicon and low temperature full wafer adhesive bonding. The technology development includes an improvement of the bonding process in order to produce an adaptive strength of SU-8 bond which not only ensures absence of debonding failures during the silicon deep etching procedure and the subsequent dicing procedure, but also avoids the potential SU-8 overflow leakage into channels due to the bonding step. Besides, the originality of the work is not only in the process but also in the design of the device. Common actuation method for microfluidic system is either based on closed-channel continuous-flow microfluidic (CMF) or droplet-based microfluidic (DMF). Both of them have advantages and disadvantages, and their integration on a single system is in dire need. In this paper, we briefly discuss the concept of microfluidic converter, integrating CMF with DMF, which can: (i) continuously preload reagents, (ii) independently manipulate several droplets, (iii) recombine and export samples into closed-channel continuous flow, making it ideal for interfacing to liquid-handling instruments and micro-analytical instruments.  相似文献   

6.
李海燕  谭振  陈慧卿  亢喆 《激光与红外》2018,48(12):1503-1508
感应耦合等离子体(ICP)刻蚀技术是目前国际制备InSb台面结型焦平面阵列技术的主流技术之一。文章研究采用ICP刻蚀技术,以CH4/H2/Ar刻蚀气体体系对InSb材料进行干法刻蚀时刻蚀气体体系中Ar气体积组分对材料刻蚀形貌及器件性能的影响。实验方案设置为在控制其他实验变量相同的情况下设置不同Ar气体积分数的刻蚀气体体系对InSb材料进行台面蚀刻,通过扫描电子显微镜(SEM)、激光共聚焦显微镜考察台面刻蚀形貌,通过I-V测试得到的器件性能结果考察刻蚀损伤情况。从实验结果得到,Ar体积占据总气体体积的30%~35%时台面刻蚀形貌良好,表面损伤轻,器件性能良好,刻蚀工艺满足要求。  相似文献   

7.
Compared to the conventional phase change materials, the new phase change material Ta-Sb2Te3 has the advantages of excellent data retention and good material stability. In this letter, the etching characteristics of Ta-Sb2Te3 were studied by using CF4/Ar. The results showed that when CF4/Ar = 25/25, the etching power was 600 W and the etching pressure was 2.5 Pa, the etching speed was up to 61 nm/min. The etching pattern of Ta-Sb2Te3 film had a smooth side wall and good perpendicularity (close to 90°), smooth surface of the etching (RMS was 0.51nm), and the etching uniformity was fine. Furthermore, the mechanism of this etching process was analyzed by X-ray photoelectron spectroscopy (XPS). The main damage mechanism of ICP etching in CF4/Ar was studied by X-ray diffraction (XRD).  相似文献   

8.
In this work, the role of N2 gas during the chemical dry etching of silicon oxide layers in NF3/N2/Ar remote plasmas was investigated by analyzing the species in the plasma, the reaction by-products in the exhaust, and the chemical properties of the etched surface. Increasing the N2 gas flow rate resulted in an initial increase in the oxide etch rate up to a maximum value, followed by a subsequent decrease. The increased etch rate of the silicon oxide layers was not ascribed to the increased surface arrival rate of fluorine, but to the enhanced oxygen removal from the silicon oxide caused by the formation of NO2 molecules. Presumably, the NO radicals formed from the added N2 gas react chemically with the oxygen in the oxide, leading to the breaking of the Si-O bonds and the effective removal of oxygen, which in turn enhances the formation of SiF4 resulting in an increased etch rate.  相似文献   

9.
InSb阵列探测芯片的感应耦合等离子反应刻蚀研究   总被引:1,自引:1,他引:0  
利用感应耦合等离子(ICP)反应刻蚀(RIE)进行了InSb阵列芯片台面刻蚀,并利用轮廓仪、SEM及XRD对台面形貌以及刻蚀损伤进行分析。采用优化的ICP刻蚀参数,实现的刻蚀速率为70~90 nm/min,刻蚀台阶垂直度~80°,刻蚀表面平整光滑、损伤低。与常规的湿法腐蚀相比,明显降低了侧向钻蚀。台面采用此反应刻蚀工艺,制备了具有理想I-V特性的320×256 InSb探测阵列芯片,在-500 mV到零偏压范围内,光敏元(面积23 μm×23 μm)的动态阻抗(Rd)大于100 MΩ。  相似文献   

10.
The etching mechanism of ZrO2 thin films in BCl3/Ar plasma was investigated using a combination of experimental and modeling methods. It was found that an increase in the Ar mixing ratio causes the non-monotonic behavior of the ZrO2 etch rate which reaches a maximum of 41.4 nm/min at about 30-35% Ar. Langmuir probe measurements and plasma modeling indicated the noticeable influence of a BCl3/Ar mixture composition on plasma parameters and active species kinetics that results in non-linear changes of both densities and fluxes for Cl, BCl2 and . From the model-based analysis of surface kinetics, it was shown that the non-monotonic behavior of the ZrO2 etch rate can be associated with the concurrence of chemical and physical pathways in ion-assisted chemical reaction.  相似文献   

11.
This paper reports the reactive ion etching (RIE) characteristics of benzocyclobutene (BCB) in sulfur hexafluoride/oxygen (SF6/O2) plasmas. The dependence of etching rate and etch anisotropy on the processing parameters, including RF power, chamber pressure, and SF6 concentration, are investigated comprehensively ranging from 50 to 200 W, 22.5 to 270 mTorr, and 0% to 80%, respectively. The BCB etching rate increases with chamber pressure and RF power in spite of nonlinearity, but decreases with the increase in SF6 concentration. Anisotropic etching can be achieved using low chamber pressure, large RF power, and high SF6 concentration. To avoid grass-like residue that happens at low pressure and large power fluorine-poor conditions, processing parameters with respect to residue-free etching are recommended. The etching mechanisms of the dependence of the etching characteristics on the processing parameters are discussed. Optimal processing parameters are presented as a guideline for isotropic etching of BCB as sacrificial layers to release structures and for anisotropic etching of BCB to precisely control etching dimensions and profiles.  相似文献   

12.
We present a novel study of the interaction of SF6-based plasmas with sol-gel materials in a parallel plate reactive ion etching (RIE) system. The purpose of these experiments was to obtain quantitative measures and optimisation of the RIE parameters, which can be used in the microfabrication of planar lightwave circuit (PLC) devices. The sulfur hexafluoride chemistry is chosen due to its excellent etching properties of SiO2, which is one of the components of the photopatternable sol-gel materials and is not present in typical photoresist materials. Fast process etching rate and good selectivity is achieved by varying SF6 flow and power delivered to the electrodes. The study also reveals a marginal influence of oxygen and argon flow on the character of the sol-gel etching. The experimental data obtained can be used as a reference for any sol-gel devices fabricated using widely available RIE reactors.  相似文献   

13.
Reactive ion etching(RIE) of LiNbO3(LN) in SF6 plasma atmosphere was studied for optimizing the preparation conditions for LN ridge waveguides.The samples to be etched are Ti-diffused LN slab waveguides overlaid with a chromium film mask that has a Mach-Zehnder interferometer(MZI) array pattern.The experimental results indicate that the LN-etching rate(RLN) and the Cr-etching rate(RCr) as well as the rate ratio RLN/RCr increase with either increasing the radio-frequency(RF) power at a given SF6 flow rate or increasing the SF6 flow rate at a fixed RF power.The maximum values of RLN = 43.2 nm/min and RLN/RCr = 3.27 were achieved with 300 W RF power and 40 sccm SF6 flow.When the SF6 flow rate exceeds 40 sccm,an increase in the flow rate causes the etching rates and the rate ratio to decrease.The scanning electron microscope images of the LN ridge prepared after~20 min etching show that the ridge height is 680 nm and the sidewall slope angle is about 60°.  相似文献   

14.
The etching characteristics of AlxGa1-xAs in citric acid/H2O2 solutions and SiCl4/SiF4 plasmas have been studied. Using a 4:1 solution of citric acid/H2O2 at 20° C, selectivities of 155, 260, and 1450 have been obtained for GaAs on AlxGa1-xAs withx = 0.3,x = 0.45, andx = 1.0, respectively. Etch rates of GaAs in this solution were found to be independent of line widths and crystal orientations for etched depths up to 1000?. GaAs etch profiles along [110] and [110] directions displayed different slope angles as expected. Selective reactive ion etching (SRIE) using SiCl4/SiF4 gas mixtures at 90 mTorr and -60 V self-biased voltage yielded selectivities between 200 and 500 forx values ranging from 0.17 to 1.0. SRIE etch rates for GaAs were relatively constant for etch depths of less than 1000?. At greater etch depths, etch rates varied by up to 76% for line widths between 0.3 and 1.0μm. Both selective wet etch and dry etch processes were applied to the fabrication of pseudomorphic GaAs/AIGaAs/lnGaAs MODFETs with gate lengths ranging from 0.3 to 2.5 μm on heterostructures with an embedded thin AlAs etch stop layer. A threshold voltage standard deviation of 13.5 mV for 0.3 μ gate-length MODFETs was achieved using a 4:1 citric acid/H2O2 solution for gate recessing. This result compares favorably with the 40 mV obtained using SRIE, and is much superior to the 230 mV achieved using the nonselective etch of 3:1:50 H3PO4: H2O2: H2O. This shows that selective wet etching using citric acid/H2O2 solutions in conjunction with a thin AlxGa1-xAs(x ≥ 0.45) etch stop layer provides a reasonably simple, safe, and reliable process for gate recessing in the fabrication of pseudomorphic MODFETs.  相似文献   

15.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

16.
We report results on a study on inductively coupled plasma (ICP) etching of HgCdTe using a CH4-based mixture. Effects of key process parameters on etch rates were investigated and are discussed in this article in light of plasma parameter measurements, performed using a Langmuir probe. Process parameters of interest include ICP source power, substrate power, pressure, and CH4 concentration. We show that the ICP etching technique allows us to obtain etch rates of about 200 nm/min, which is high enough to use this technique in a manufacturing process. We also observe that the ion bombardment has a strong influence on HgCdTe etch rate. Finally, we show that this etch rate is modified by the substitution of methane for hydrogen.  相似文献   

17.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

18.
We have investigated the characteristics of Ar/O2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O2/(O2+ Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O2/(O2 + Ar) gas flow ratio.  相似文献   

19.
CHF3-O2 reactive ion etching of 4H-SiC and the role of oxygen   总被引:1,自引:0,他引:1  
Reactive ion etching of 4H-SiC was performed using a CHF3-O2 plasma. The etch rate and mean surface roughness were investigated as a function of the ratio of the O2 flow rate to the total gas flow rate. It was found that oxygen plays an indirect role in contributing to the etching of SiC. An optimum O2 fraction of 20% was found to give a maximum etch rate of 35 nm/min. On the other hand, the root-mean-square (RMS) surface roughness was found to increase from 1.31 to 2.34 nm when the O2 fraction increased from 0% to 80%. Auger electron spectroscopy results for the samples etched at the optimum condition of 20% O2 fraction revealed the presence of oxygen on the etched surface in a form of an oxide-like layer (SiOx). No carbon residue (carbon rich-layer) and aluminum were found. Based on our results, the role of O2 in the reactive ion etching of 4H-SiC will be presented.  相似文献   

20.
This paper compares H2/Ar, CH4/H2/Ar, and CH4/H2/N2/Ar plasma etch processes for CdZnTe and CdTe substrates in view of their potential to provide high-quality substrate surfaces for subsequent HgCdTe epitaxy. An electron cyclotron resonance source was used as plasma generator, and ellipsometry, angle-resolved x-ray photoelectron spectroscopy and low energy electron diffraction were applied to characterize roughness, composition, and order of the resulting substrate surfaces. It was found that CdZnTe is much more susceptible to evolving surface roughness under H2/Ar plasma exposure than CdTe. The severe roughening observed at 100°C sample temperature was found to be correlated with a buildup of ZnTe at the surface, which suggests that the roughness formation may result from a preferential etching of the CdTe component. This surface degradation could be reduced by the addition of CH4 to the process gases. However, only a further addition of nitrogen gas balanced and substantially improved the plasma process so that atomically clean, very smooth, and stoichiometrically composed CdZnTe surfaces of long-range order were eventually obtained.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号