首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Electrodeposition has emerged as a practical and simple method to synthesise semiconductor materials under different forms, thin films or nanostructured layers. This work reports on the cathodic electrodeposition of ZnMnO thin layers using both zinc and manganese chlorides as precursors. The composition of thin films can be varied from binary zinc oxide to manganese oxide varying the Mn/(Mn+Zn) ratio between 0 and 1. The composition of ZnxMnyOz films was obtained by energy dispersive spectroscopy. Zn1−xMnxO films with Mn/Zn ratio less than 10% exhibit a crystalline wurtzite structure typical of ZnO fully oriented in the (0 0 2) direction. Higher Mn content leads to deformation of the ZnO lattice and the wurtzite structure is no longer maintained. X-ray photoelectron spectroscopy points out that Mn3O4 tends to be deposited when a high Mn/Zn ratio is used in the starting solution. Magnetic measurements on films with Mn/(Zn+Mn) ratio near 1 reveal magnetic characteristics similar to Mn3O4 compounds. The transmission spectra of ZnxMnyOz show the typical absorption edge of crystalline ZnO while the wurtzite structure is maintained and it shifts to higher wavelengths when Mn content increases.  相似文献   

2.
Interaction of HfxTayN metal gate with SiO2 and HfOxNy gate dielectrics has been extensively studied. Metal-oxide-semiconductor (MOS) device formed with SiO2 gate dielectric and HfxTayN metal gate shows satisfactory thermal stability. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis results show that the diffusion depths of Hf and Ta are less significant in SiO2 gate dielectric than that in HfOxNy. Compared to HfOxNy gate dielectric, SiO2 shows better electrical properties, such as leakage current, hysteresis, interface trap density and stress-induced flat-band voltage shift. With an increase in post metallization annealing (PMA) temperature, the electrical characteristics of the MOS device with SiO2 gate dielectric remain almost unchanged, indicating its superior thermal and electrical stability.  相似文献   

3.
The SrAl2O4:Eu2+ phosphor powders have been synthesized by sol-gel process. Electroluminescent (EL) properties of the SrAl2O4:Eu2+ phosphor were investigated using a convenient thick film device. Green light emitting at a peak of 508 nm was obtained when driven by sine alternating current (AC). The color coordinate of the emission was x=0.148 and y=0.635. Luminance-voltage and afterglow characteristics of the SrAl2O4:Eu2+ EL devices were studied. The results show that SrAl2O4:Eu2+ can be used as green phosphor for EL displays.  相似文献   

4.
We report the effect of annealing on electrical and physical characteristics of HfO2, HfSixOy and HfOyNz gate oxide films on Si. Having the largest thickness change of 0.3 nm after post deposition annealing (PDA), HfOyNz shows the lowest leakage current. It was found for both as-grown and annealed structures that Poole-Frenkel conduction is dominant at low field while Fowler-Nordheim tunneling in high field. Spectroscopic ellipsometry measurement revealed that the PDA process decreases the bandgap of the dielectric layers. We found that a decreasing of peak intensity in the middle HfOyNz layer as measured by Tof-SIMS may suggest the movement of N toward the interface region between the HfOyNz layer and the Si substrate during the annealing process.  相似文献   

5.
Interfacial reactions between the Ba2YCu3O6+x superconductor and the CeO2 buffer layers employed in coated conductors have been modeled experimentally by investigating the kinetics of the reaction between Ba2YCu3O6+x films and CeO2 substrates. At 810°C, the Ba2YCu3O6+x -CeO2 join within the BaO-Y2O3-CeO2-CuO x quaternary system is nonbinary, thereby establishing the phase diagram topology that governs the Ba2YCu3O6+x /CeO2 reaction. At a mole ratio of Ba2YCu3O6+x :CeO2 of 40:60, a phase boundary was found to separate two four-phase regions. On the Ba2YCu3O6+x -rich side of the join, the four-phase region consists of Ba2YCu3O6 +x , Ba(Ce1−z Y z )O3−x , BaY2CuO5, and CuO x ; on the CeO2 rich side, the four phases were determined to be Ba(Ce1−z Y z ) O3−x , BaY2CuO5, CuO x and CeO2. The Ba2YCu3O6+x /CeO2 reaction is limited by solid-state diffusion, and the reaction kinetics obey the parabolic rule, x = Kt 1/2, where x = thickness of the reaction layer, t = time, and K = a constant related to the rate constant; K was determined to be 1.6 × 10−3 μm/s1/2 at 790°C and 4.7 × 10−3 μm/s1/2 at 830°C. The activation energy for the reaction was determined to be E act = 2.67 × 105 J/mol using the Arrhenius equation.  相似文献   

6.
Metal–insulator–metal (MIM) capacitors with Pr2O3 as high-k material have been investigated for the first time. We varied the thickness of the Pr2O3 layers as well as the bottom electrode material. The layers are characterised using X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), transmission electron microscopy (TEM) and secondary ion mass spectroscopy (SIMS). Preliminary information on the interaction of water with the films was obtained from XPS and ab initio pseudopotential calculations. The electrical characterisation shows that Pr2O3 MIM capacitors can provide higher capacitance densities than Si3N4 MIM capacitors while still maintaining comparable voltage coefficients of capacitance. The Pr2O3 dielectric material seems to be suitable for use in silicon RF applications.  相似文献   

7.
Ta2O5 films with a buffer layer of silicon nitride of various thicknesses were deposited on Si substrate by reactive sputtering and submitted to annealing at 700 °C in nitrogen atmosphere. The microstructure and the electrical properties of thin films were studied. It was found that with a buffer layer of silicon nitride the electrical properties of SixNy/Ta2O5 film can be improved than Ta2O5 film. When the thickness of the buffer layer was 3 nm, the SixNy/Ta2O5 film has the highest dielectric constant of 27.4 and the lowest leakage current density of 4.61 × 10−5 A/cm2 (at −1 V). For the SixNy (3 nm)/Ta2O5 film, the conduction mechanism of leakage current was also analyzed and showed four types of conduction mechanisms at different applied voltages.  相似文献   

8.
AlGaN/GaN-based metal-insulator-semiconductor heterostructure field-effect transistors (MIS-HFETs) with Al2O3/Si3N4 bilayer as insulator have been investigated in detail, and compared with the conventional HFET and Si3N4-based MIS-HFET devices. Al2O3/Si3N4 bilayer-based MIS-HFETs exhibited much lower gate current leakage than conventional HFET and Si3N4-based MIS devices under reverse gate bias, and leakage as low as 1×10−11 A/mm at −15 V has been achieved in Al2O3/Si3N4-based MIS devices. By using ultrathin Al2O3/Si3N4 bilayer, very high maximum transconductance of more than 180 mS/mm with ultra-low gate leakage has been obtained in the MIS-HFET device with gate length of 1.5 μm, a reduction less than 5% in maximum transconductance compared with the conventional HFET device. This value was much smaller than the more than 30% reduction in the Si3N4-based MIS device, due to the employment of ultra-thin bilayer with large dielectric constant and the large conduction band offset between Al2O3 and nitrides. This work demonstrates that Al2O3/Si3N4 bilayer insulator is a superior candidate for nitrides-based MIS-HFET devices.  相似文献   

9.
This paper describes the mechanism of selective Si3N4 etching over SiO2 in capacitively-coupled plasmas of hydrogen-containing fluorocarbon gas, including CHF3, CH2F2 and CH3F. The etch rate of Si3N4 and SiO2 is investigated as a function of O2 percentage in all plasma gases. Addition of O2 in feed gases causes plasma gas phase change especially H density. The SiO2 etch rate decreases with increase of O2 percentage due to the decline of CFx etchant. The Si3N4 etch rate is found to be strong correlated to the H density in plasma gas phase. H can react with CN by forming HCN to reduce polymer thickness on Si3N4 surface and promote the removal of N atoms from the substrate. Thus the Si3N4 etch rate increases with H intensity. As a result, a relative high selectivity of Si3N4 over SiO2 can be achieved with addition of suitable amount of O2 which corresponds to the maximum of H density.  相似文献   

10.
A ternary WNxCy system was deposited in a thermal ALD (atomic layer deposition) reactor from ASM at 300 °C in a process sequence using tungsten hexafluoride (WF6), triethyl borane (TEB) and ammonia (NH3) as precursors. The WCx layers were deposited by a novel ALD process at a process temperature of 250 °C. The WNx layers were deposited at 375 °C using bis(tert-butylimido)-bis-(dimethylamido)tungsten (tBuN)2(Me2N)2W (imido-amido) and NH3 as precursors. WNx grows faster on plasma enhanced chemical vapor deposition (PECVD) oxide than WCx does on chemical oxide. WNxCy grows better on PECVD oxide than on thermal oxide, which is opposite of what is seen for WNx. In the case of the ternary WNxCy system, the scalability towards thinner layers and galvanic corrosion behavior are disadvantages for the incorporation of the layer into Cu interconnects. ALD WCx based barriers have a low resistivity, but galvanic corrosion in a model slurry solution of 15% peroxide (H2O2) is a potential problem. Higher resistivity values are determined for the binary WNx layers. WNx shows a constant composition and density throughout the layer.  相似文献   

11.
In this study, the interface trap density of metal-oxide-semiconductor (MOS) devices with Pr2O3 gate dielectric deposited on Si is determined by using a conductance method. In order to determine the exact value of the interface trap density, the series resistance is estimated directly from the impedance spectra of the MOS devices. Subsequently, the dispersion characteristics are numerically analyzed on the basis of a statistical model. Lastly, the process-dependent interface trap density of Pr2O3 is evaluated. It is concluded that high-pressure annealing and a superior quality interfacial SiO2 layer are of crucial importance for achieving a sufficiently low interface trap density.  相似文献   

12.
In this paper, the physical and electrical properties of a TiNxOy/TiSi2 dual layer contact barrier are reported. The TiNxOy/TiSi2 barrier was formed by rapidly annealing a Ti thin film on Si in an N2 ambient. During this process, the Ti film surface reacts with N2 to form a TiNxOy skin layer and the bulk of the Ti film reacts with Si to form an underlying TiSi2 layer. The influences of rapid thermal anneal (RTA) conditions on the TiNxOy layer were investigated by varying the RTA temperature from 600 to 1100° C and cycle duration from 30 to 100 s. It is found that the resulting TiNxOy and TiSi2 layer thicknesses are dependent on RTA temperature and the starting Ti thickness. For a starting Ti thickness of 500Å, 150Å thick TiNxOy and 800Å thick TiSi2 are obtained after an RTA at 900° C for 30 s. The TiNxOy thickness is limited by a fast diffusion of Si into Ti to form TiSi2. When a Ti film is deposited on SiO2, Ti starts to react with SiO2 from 600° C and a significant reduction of the SiO2 thickness is observed after an RTA at 900° C. The resulting layer is composed of a surface TiNxOy layer followed by a complex layer of titanium oxide and titanium suicide. In addition, when Ti is depos-ited on TiSi2, thicker TiNxOy and TiSi2 layers are obtained after RTA. This is because the TiSi2 layer retards the diffusion of Si from the underlying substrate into the Ti layer. NMOSFETs were fabricated using the TiNxOy/TiSi2 as a contact barrier formed by RTA at 900° C for 30 s and a significant reduction of contact resistance was obtained. In addition, electromigration test at a high current density indicated that a significant improvement in mean time to failure (MTF) has been obtained with the barrier.  相似文献   

13.
Si1−xGex nanocrystals (NCs), embedded in Al2O3 matrix, were fabricated on Si (100) substrates by RF-magnetron sputtering technique with following annealing procedure at 800 °C, in nitrogen atmosphere. The presence of Si1−xGex NCs was confirmed by grazing incidence X-ray diffraction (GIXRD), grazing incidence small angle X-ray scattering (GISAXS) and Raman spectroscopy. The influence of the growth conditions on the structural properties and composition of Si1−xGex NCs inside the alumina matrix was analyzed. Optimal conditions to grow Si1−xGex (x∼ 0.8) NCs sized between 3 and 4 nm in Al2O3 matrix were established.  相似文献   

14.
Low-temperature Si barrier growth with atomically flat heterointerfaces was investigated in order to improve negative differential conductance (NDC) characteristics of high-Ge-fraction strained Si1−xGex/Si hole resonant tunneling diode with nanometer-order thick strained Si1−xGex and unstrained Si layers. Especially to suppress the roughness generation at heterointerfaces for higher Ge fraction, Si barriers were deposited using Si2H6 reaction at a lower temperature of 400 °C instead of SiH4 reaction at 500 °C after the Si0.42Ge0.58 growth. NDC characteristics show that difference between peak and valley currents is effectively enhanced at 11-295 K by using Si2H6 at 400 °C, compared with that using SiH4 at 500 °C. Non-thermal leakage current at lower temperatures below 100 K tends to increase with decrease of Si barrier thickness. Additionally, thermionic-emission dominant characteristics at higher temperatures above 100 K suggests a possibility that introduction of larger barrier height (i.e. larger band discontinuity) enhances the NDC at room temperature by suppression of thermionic-emission current.  相似文献   

15.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

16.
Cubic crystalline silicon-carbon nitride (Si1−x−yCxNy) films have been grown successfully using various carbon sources by rapid-thermal chemical-vapor deposition (RTCVD). The characteristics of the Si1−x−yCxNy films grown with SiH3CH3, C2H4, and C3H8 are examined and compared by x-ray photoelectron spectroscopy (XPS) spectra, scanning electron microscopy (SEM) images, and transmission electron microscopy (TEM) patterns. The XPS spectra show that the differences of chemical composition and chemical-bonding state are co-related to the C bonding type of the different carbon source. The SEM images and TEM analysis indicate that the better Si1−x−yCxNy film can be obtained using C3H8 gas as the carbon source. In addition, correlations between the growing stages to the microstructure of the cubic-crystalline Si1−x−yCxNy films have been illustrated in detail.  相似文献   

17.
A nickel silicide process for Si1-xGex, Si1-x-yGexCy, and Si1-yCy alloy materials compatible with Si technology has been developed. Low-resistivity-phase (12–20 μΘ cm) nickel silicides have been obtained for these alloys with different low sheet-resistance temperature windows. The study shows that thin (15–18 nm) silicide layers with high crystalline quality, smooth silicide surface, and smooth interface between silicide and the underlying material are achievable. The technique could be used to combine the benefits of Ni silicide and Si1-xGex, Si1-x-yGexCy, and Si1-yCy alloys. The technique is promising for Si or Si1-xGex, Si1-x-yGexCy, and Si1-yCy alloy-based metal-oxide semiconductor, field-effect transistors (MOSFETs) or other device applications.  相似文献   

18.
A detailed study of the leakage currents and dielectric wear-out of thermal oxides grown on Si1−xGex, Si1−yCy and Si1−xyGexCy epilayers to determine their quality and reliability for Si1−xyGexCy MOS technology is presented. After applying electrical stress to the samples, we have determined the conduction mechanisms and the dependence of leakage currents upon epilayer composition (Ge and C content). Conduction takes place mainly via Fowler-Nordheim tunneling injection. Ge and C introduce traps in the oxide which assist injection and thus lower the effective height of the tunneling barrier. We have also monitored the oxide reliability, focusing on time-dependent dielectric breakdown (TDDB). The nature of trapped charge in the oxide depends on the initial epilayer composition. We have found that the formation of defects induced by the presence of C leads to extrinsic oxide failure. While the presence of Ge in the oxide does not seem to introduce significant differences with respect to Si breakdown statistics, C in the oxide truly modifies the statistical profile.  相似文献   

19.
《Applied Superconductivity》1996,4(7-8):327-335
The structural and superconducting properties of (Gd1−xyCayHfx)Ba2Cu3Oz samples are investigated using X-ray diffraction, resistivity, AC susceptibility and oxygen content measurements. The effect of increasing Hf concentration in (Gd1−xHfx)Ba2Cu3Oz lowers the oxygen content and decreases Tc which is attributed to hole filling by Hf. The substitution of Ca for Gd in (Gd0.85−yCayHf0.15)Ba2Cu3Oz provides proper matching between the ionic radius and valence of Gd3+ (0.94 Å) and the average ionic radius and valence of Hf4+ (0.78 Å) and Ca2+ (0.99 Å). As the Ca content increases, the Tc increases from 81 K (y = 0.05) to 86.5 K (y = 0.20, compensated oxide), closer to the value of 91 K for pure GdBa2Cu3O7−δ due to the balance between the hole filling by Hf and hole doping by Ca. A comparative study of Hf doped samples of (R1−xHfx)Ba2Cu3Oz (R = Y, Er, Gd) indicates that the magnetic moment carried by R-ion plays an important role in the suppression of superconductivity and Tc.  相似文献   

20.
The feasibility of employing yttrium oxide (Y2O3) as high-k gate dielectrics for GaAs metal-oxide-semiconductor (MOS) devices has been investigated. MOS capacitors were fabricated using RF-sputtered deposited Y2O3 films on NH4OH treated n-GaAs substrate. Indeed high-k (Y2O3)/GaAs MOS capacitors exhibiting fairly good electrical characteristics, for instance, especially low leakage current density, low hysteresis and allowable density of interface states, have been achieved. The effects of several annealing treatments on Y2O3-gated GaAs MOS capacitors have been investigated in order to optimize the process conditions. A decrease in accumulation capacitance (Cacc) following PDA effectively increases the equivalent oxide thickness (EOT), which is predicted to be correlated with the growth and continuous increase in the physical thickness of a lower-k inter-layer sandwiched between Y2O3 and GaAs. However, leakage currents and interface trap densities are reduced with higher values of annealing temperature. The variation of current density with an equivalent oxide thickness (EOT) has also been investigated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号