首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 18 毫秒
1.
Plasma charging effects on the gate insulator of high-dielectric constant (k) material in MOS devices deserve to be investigated because of different trap-assisted conduction mechanisms. Plasma-induced degradation in gate-leakage current and time to breakdown is clearly observed in this work. MOS device with Si3N4 film seems to have smaller degradation of gate-leakage current while it suffers shorter time to breakdown as compared to Ta2O5 samples. For devices with Ta2O5 film, a larger physical thickness suffers more reliability degradation from plasma charging damage because of the richer traps. Thus, a smaller physical thickness of high-k dielectric film is favorable for sub-micron MOS devices of ULSI application  相似文献   

2.
Capacitors with ultra-thin (6.0-12.0 nm) CVD Ta2O5 film were fabricated on lightly doped Si substrates and their leakage current (Ig-Vg) and capacitance (C-V) characteristics were studied. For the first time, samples with stack equivalent oxide thickness around 2.0 nm were compared with ultra-thin silicon dioxide and silicon oxynitride. The Ta2O5 samples showed remarkably lower leakage current, which not only verified the advantages of ultra-thin Ta2O5 as dielectrics for high density DRAM's, but also suggested the possibility of its application as the gate dielectric material in MOSFET's  相似文献   

3.
Plasma-charging damage on gate dielectrics of MOS devices is an important issue because of shrinking dimension, plasma nonuniformity, and effects on high-k gate dielectrics. A comprehensive study of plasma-charging effects on the electrical properties of MOS devices was investigated in this work. Shunt diodes were used to estimate the charging polarity distribution. For high-frequency application, the 1/f noise was found to be a promising index for assessing plasma-charging damage. Gate oxynitride formed by two-step nitridation was demonstrated to have better electrical reliability as compared to the conventional one-step nitridation, especially accompanied by amorphous silicon gate electrode. This improvement could be attributed to the relaxation of interface stress by amorphous silicon gate electrode and the suppression of hydrogen effects by gate oxynitride using two-step nitridation. Plasma-charging damage on Si3N4 and Ta2O5 gate dielectrics with high dielectric constant was also investigated. For MOS devices with Si3N4 film, the leakier characteristic and shorter time to breakdown reveal its inferior reliability. For MOS devices with Ta2O5 gate dielectric, the trap-assisted current mechanism makes a thicker physical thickness of Ta2O5 film more susceptible to plasma-charging-induced damage. Smaller physical thickness of Ta2O5 film in MOS devices is favorable due to the better reliability and comparable plasma-induced electrical degradation  相似文献   

4.
Advances in lithography and thinner SiO2 gate oxides have enabled the scaling of MOS technologies to sub-0.25-μm feature size. High dielectric constant materials, such as Ta2O5 , have been suggested as a substitute for SiO2 as the gate material beyond tox≈25 Å. However, the Si-Ta 2O5 material system suffers from unacceptable levels of bulk fixed charge, high density of interface trap states, and low silicon interface carrier mobility. In this paper we present a solution to these issues through a novel synthesis of a thermally grown SiO2(10 Å)-Ta2O5 (MOCVD-50 Å)-SiO2 (LPCVD-5 Å) stacked dielectric. Transistors fabricated using this stacked gate dielectric exhibit excellent subthreshold behaviour, saturation characteristics, and drive currents  相似文献   

5.
As the gate oxide thickness decreases below 2 nm, the gate leakage current increases dramatically due to direct tunneling current. This large gate leakage current will be an obstacle to reducing gate oxide thickness for the high speed operation of future devices. A MOS transistor with Ta2O5 gate dielectric is fabricated and characterized as a possible replacement for MOS transistors with ultra-thin gate silicon dioxide. Mobility, Id-Vd, Id-Vg, gate leakage current, and capacitance-voltage (C-V) characteristics of Ta2O5 transistors are evaluated and compared with SiO2 transistors. The gate leakage current is three to five orders smaller for Ta2O5 transistors than SiO2 transistors  相似文献   

6.
N-channel metal oxide semiconductor field effect transistors with Ta2O5 gate dielectric were fabricated. The Ta2O5/silicon barrier height was calculated using both the lucky electron model and the thermionic emission model. Based on the lucky electron model, a barrier height of 0.77 eV was extracted from the slope of the ln(Ig/Id) versus ln(Isub/Id) plot using an impact ionization energy of 1.3 eV. Due to the low barrier height, the application of Ta2 O5 gate dielectric transistors is limited to low supply voltage preferably less than 2.0 V  相似文献   

7.
An effective model to evaluate the leakage currents for different stacked gates deep submicron MOS transistors is presented. For a given equivalent oxide thickness of a stacked gate, the gate leakage current decreases with an increase of high-k dielectric thickness or a decrease of interlayer thickness. Turning points at high gate biases of the IV curves are observed for Si3N4/SiO2, Ta2O5/SiO2, Ta2O5/SiO2−yNy, Ta2O5/Si3N4, and TiO2/SiO2 stacked gates except for Al2O3/SiO2 structure. Design optimization for the stacked gate architecture to obtain the minimum gate leakage current is evaluated.  相似文献   

8.
Silicon MOS transistors having amorphous Ta2O5 insulator gates have been fabricated. The Ta2O5 films were deposited using a low pressure (a few mtorr) plasma-enhanced CVD process in a microwave (2.45 GHz) excited electron cyclotron resonance reactor. The source gas was TaF5. Electrical characteristics of p-channel Al gate transistors are presented  相似文献   

9.
N-channel metal oxide semiconductor field effect transistors (MOSFETs) with Ta2O5 gate dielectric were fabricated. An intrinsic Ta2O5/silicon barrier height of 0.51 eV was extracted from the gate current. The effective Ta 2O5/silicon barrier height including image force barrier lowering is about 0.37 eV with drain to source voltage VDS ranging from 1.5 V to 4.0 V. Due to the low barrier height, negative transconductance effect was observed in the linear region. The decrease of drain current is due to the real space transfer of electrons from the drain terminal to the gate electrode  相似文献   

10.
Large area, high density integrated capacitors within printed wiring boards can provide a substantial decoupling capacitance with very low parasitic inductance. Tantalum pentoxide (Ta2O5) is an excellent dielectric for this application due to the relatively high dielectric constant (~ 22-24), however the difficulty of fabricating large, defect-free capacitors has thus far prevented the realization of practical applications. This work demonstrates high performance capacitors with Ta2O5 dielectric developed with a two step oxidation scheme consisting of reactive sputtering followed by anodization. Thin films of Ta2O5 were deposited by reactive sputtering on silicon and also on Upilexreg covered glass wafers using dc magnetron sputtering with a gas flow ratio of 10/90 O2/Ar. In the two-step oxidation scheme, anodization is performed after reactively sputtering tantalum oxide films to obtain a densifled oxide structure. The electrical and physical properties of these two step sputtered/ anodized tantalum oxide films are shown to be superior to those of tantalum oxide films prepared by either anodization or sputtering alone. This work has shown that Ta2O5 is a potential dielectric for integrated capacitors that could be used in advanced packaging applications.  相似文献   

11.
The authors report on a highly reliable stacked storage capacitor with ultrahigh capacitance using rapid-thermal-annealed low-pressure chemical vapor deposited (LPCVD) Ta2O5 films (~100 Å) deposited on NH3-nitrided rugged poly-Si electrodes. Capacitances as high as 20.4 fF/μ2 (corresponding to the thinnest tox.eff (16.9 Å) ever reported using LPCVD-Ta2O5 and poly-Si technologies) have been achieved with excellent leakage current and time-dependent dielectric breakdown (TDDB) characteristics. Extensive electrical characterization over a wide temperature range (~25-300°C) shows that Ta2O 5 films on rugged poly-Si electrodes have a better temperature stability in dielectric leakage and breakdown compared to the films on smooth poly-Si electrodes  相似文献   

12.
To ensure the required capacitance for low-power DRAMs (dynamic RAMs) beyond 4 Mb, three kinds of capacitor structures are proposed: (a) poly-Si/SiO2/Ta2O5/SiO2 /poly-Si or poly-Si/Si3N4/Ta2O 5/SiO2/poly-Si (SIS), (b) W/Ta2O5 /SiO2/poly-Si (MIS), and (c) W/Ta2O5 W (MIM). The investigation of time-dependent dielectric breakdown and leakage current characteristics indicates that capacitor dielectrics that have equivalent SiO2 thicknesses of 5, 4, and 3 nm can be applied to 3.3-V operated 16-Mb DRAMs having stacked capacitor cells (STCs) by using SIS, MIS, and MIM structures, respectively, and that 3 and 1.5 nm can be applied to 1.5-V operated 64-Mb DRAMs having STCs by using MIS and MIM structures, respectively. This can be accomplished while maintaining a low enough leakage current for favorable refresh characteristics. In addition, all these capacitors show good heat endurance at 950°C for 30 min. Therefore, these capacitors allow the fabrication of low-power high-density DRAMs beyond 4 Mb using conventional fabrication processes at temperatures up to 950°C. Use of the SIS structure confirms the compatability of the fabrication process of a storage capacitor using Ta2O5 film and the conventional DRAM fabrication processes by successful application to the fabrication process of an experimental memory array with 1.5-μm×3.6-μm stacked-capacitor DRAM cells  相似文献   

13.
A dielectric film technology characterized by a novel multilayer structure formed by oxidation of Ta2O5/Si3 N4 films on polysilicon has been developed to realize high-density dRAMs. The dry oxidation of the Ta2O5/Si3N4 layers was performed at temperatures higher than 900°C. This film has a capacitance per unit area from 5.5 to 6.0 fF/ μm2, which is equivalent to that of a 6.0- to 6.5-nm-thick SiO2. The leakage current at an effective electric field of 5 MV/cm is less than 10-9 A/cm2. Under such an electric field, the extrapolated time to failure for 50% cumulative failure can be as high as 1000 years  相似文献   

14.
This paper reports the effects of post-deposition rapid thermal annealing on the electrical characteristics of chemical vapor deposited (CVD) Ta2O5 (~10 nm) on NH3-nitrided polycrystalline silicon (poly-Si) storage electrodes for stacked DRAM applications. Three different post-deposition annealing conditions are compared: a) 800°C rapid thermal O2 annealing (RTO) for 20 sec followed by rapid thermal N2 annealing (RTA) for 40 sec, b) 800°C RTO for 60 sec and c) 900°C RTO for 60 see. Results show that an increase in RTO temperature and time decreases leakage current at the cost of capacitance. However, over-reoxidation induces thicker oxynitride formation at the Ta2O5/poly-Si interface, resulting in the worst time-dependent dielectric breakdown (TDDB) characteristics  相似文献   

15.
A novel transistor formation process (damascene gate process) was developed in order to apply metal gates and high dielectric constant gate insulators to MOSFET fabrication and minimize plasma damage to gate insulators. In this process, the gate insulators and gate electrodes are formed after ion implantation and high temperature annealing (~1000°C) for source/drain formation, and the gate electrodes are fabricated by chemical mechanical polishing (CMP) of gate materials deposited in grooves. Metal gates and high dielectric constant gate insulators are applicable to the MOSFET, since the processing temperature after gate formation can be reduced to as low as 450°C. Furthermore, process-damages on gate insulators are minimized because there is no plasma damage caused by source/drain ion implantation and gate reactive ion etching (RIE). By using this process, fully planarized metal (W/TiN or Al/TiN) gate transistors with SiO2 or Ta2O5 as gate insulators were uniformly fabricated on an 8-in wafer. Further, the damascene metal gate transistors exhibited low gate sheet resistivity, no gate depletion and drastic improvement in gate oxide integrity, resulting in high transistor performance  相似文献   

16.
Fabrication of rapid thermal nitrided HSG transformed crown capacitor storage cells incorporating an ultrathin low pressure chemical vapor deposition (LPCVD) Ta2O5 and Si3N 4/SiO2(NO) dielectric is proposed. 256 Mb array with HSG crown cells of 0.3 μm diameter×0.6 μm height and 49 A Teff showed an area enhancement factor of 1.7 (relative to untransformed crown cell). Cmin/Cmax ratio of >0.95, and capacitance of 16.7 fF/cell is obtained. A measured leakage current density of 0.7 nA/cm2 at 1.2 V is reported. Metal-oxide-semiconductor capacitor (MOSCAP) devices with HSG electrodes for 1 Gb application are characterized using capacitance-voltage (C-V) and current-voltage (I-V) analyses. Detailed HSG grain characterization results are presented with correlation to the electrical behavior of the devices. Devices are formed using LPCVD Ta2O5 and/or Si3N4 dielectric. HSG films formed from 4×1020 atoms/cc phosphorus doped amorphous silicon show depletion in C-V behavior. It is shown that phosphine doping of HSG film is required to avoid depletion. Process selectivity of the UHV/CVD HSG transformation mechanism applied to thermal oxide and nitride field dielectrics is fully explored. Selectivity limits for different types of dielectric are also presented. Effect of critical parameters such as a-Si dopant concentration, HSG incubation time, anneal conditions, and a-Si layer thickness on HSG transformation are discussed for 1 Gb crown cells  相似文献   

17.
This study aims to improve the electrical characteristics and reliability of low-pressure chemical vapor deposited (LPCVD) Ta2 O5, films by developing a new post-deposition single-step annealing technique. Experimental results indicate that excited oxygen atoms generated by N2O decomposition can effectively repair the oxygen vacancies in the as-deposited CVD Ta2 O5 film, thereby resulting in a remarkable reduction of the film's leakage current. Two other post-deposition annealing conditions are compared: rapid thermal O2 annealing and furnace dry-O2 annealing. The comparison reveals that RTN2O annealing has the lowest leakage current, superior thermal stability of electrical characteristics and the best time-dependent dielectric breakdown (TDDB) reliability  相似文献   

18.
N-channel metal oxide semiconductor field effect transistors (MOSFETs) using Ta2O5, gate oxide were fabricated. The Ta2O5 films were deposited by plasma enhanced chemical vapor deposition. The IDS-VDS and IDS-VGS characteristics mere measured. The electron mobility was 333 cm2/V·s. The subthreshold swing was 73 mV/dec. The interface trapped charge density, the surface recombination velocity, and the minority carrier lifetime in the field-induced depletion region measured from gated diodes were 9.5×1012 cm-2 eV-1, 780 cm/s and 3×10-6 sec, respectively. A comparison with conventional MOSFETs using SiO2 gate oxide was made  相似文献   

19.
The fluorine ion implantation applied to the polycrystalline silicon thin-film transistors (poly-Si TFTs) with high-k Pr2O3 as gate dielectric is investigated for the first time. Using the Pr2O3 gate dielectric can obtain a high gate capacitance density and thin equivalent-oxide thickness, exhibiting a greatly enhancement in the driving capability of TFT device. Introducing fluorine ions into the poly-Si film by fluorine ion implantation technique can effectively passivate the trap states in the poly-Si film and at the Pr2O3/poly-Si interface to improve the device electrical properties. The Pr2O3 TFTs fabricated on fluorine-implanted poly-Si film exhibit significantly improved electrical performances, including lower threshold voltage, steeper subthreshold swing, higher field-effect mobility, lower off-state leakage current, and higher on/off current ratio, as compared with the control poly-Si Pr2O3 TFTs. Also, the incorporation of fluorine ions also improves the reliability of poly-Si Pr2O3 TFTs against hot-carrier stressing, which is attributed to the formation of stronger Si-F bonds. Furthermore, superior threshold-voltage rolloff characteristic is also demonstrated in the fluorine-implanted poly-Si Pr2O3 TFTs. Therefore, the proposed scheme is a promising technology for high-performance and high-reliability solid-phase crystallized poly-Si TFT.  相似文献   

20.
叶伟  崔立堃  常红梅 《电子学报》2019,47(6):1344-1351
具有高介电常数的栅绝缘层材料存在某种极化及耦合作用,使得ZnO-TFTs具有高的界面费米能级钉扎效应、大的电容耦合效应和低的载流子迁移率.为了解决这些问题,本文提出了一种使用SiO2修饰的Bi1.5Zn1.0Nb1.5O7作为栅绝缘层的ZnO-TFTs结构,分析了SiO2修饰对栅绝缘层和ZnO-TFTs性能的影响.结果表明,使用SiO2修饰后,栅绝缘层和ZnO-TFTs的性能得到显著提高,使得ZnO-TFTs在下一代显示领域中具有非常广泛的应用前景.栅绝缘层的漏电流密度从4.5×10-5A/cm2降低到7.7×10-7A/cm2,粗糙度从4.52nm降低到3.74nm,ZnO-TFTs的亚阈值摆幅从10V/dec降低到2.81V/dec,界面态密度从8×1013cm-2降低到9×1012cm-2,迁移率从0.001cm2/(V·s)升高到0.159cm2/(V·s).  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号