首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
步进电机作为执行机构在电子对抗装备伺服系统中得到了广泛的应用,但其控制器都较为复杂,给出了一种在多用途步进电机运动控制系统中应用现场可编程门阵列(FPGA)实现步进电机控制的方法.实验结果表明系统扩展方便,可移植性高,同时具有广泛的适应性.  相似文献   

2.
步进电机控制器的FPGA实现   总被引:3,自引:1,他引:2  
介绍基于FPGA的步进电机控制器的设计,在分析步进电机的工作原理的基础上,给出了层次化设计方案与VHDL程序,并利用Quartus Ⅱ进行了仿真并给出了仿真结果.它以FPGA作为核心器件,极大地减少了外围元件的使用.同时,采用VHDL语言控制可以根据步进电机的不同,改变模块程序的参数就可以实现不同型号步进电机的控制,有利于步进电机的广泛应用.  相似文献   

3.
为了达到控制步进电机正反转和变速运动的目的,采用了一种可编程FPGA技术的方法,通过Altera公司的软件QuartusⅡ9.0及其DE0开发板进行了设计和仿真分析,以及连接以东芝公司的TB6560AHQ步进电机驱动芯片为核心的驱动器和57BYG223混合式步进电机进行了实验验证,预先设定了等4个档位的速度,实现了步进电机的运动控制和相应速度的显示功能。最后实验结果表明,该设计控制方便,便于调试。  相似文献   

4.
以DSP作为核心,配以FPGA作为外围接口扩展和管理,进行了控制器设计。通过A/D采样和正交编码QEP,可以获取不同类型传感器的输入信号;D/A和PWM可以输出模拟和数字的控制信号;扩展的多路串口通信电路也可以配置为不同的工作模式,保证了控制器对于不同场合的适用性。DSP强大的数据运算能力与FPGA的灵活性相结合。使得...  相似文献   

5.
首先介绍了多分辨率VGA图像控制器的显示原理,然后结合FPGA的特点,分别从硬件电路,时序信号产生和软件实现三个方面对VGA图像控制器的设计进行了介绍。其中,硬件电路使用CycloneⅡ EP2C35和adv7123组合,软件使用VHDL语言编写。最后给出了该控制器在Quartus Ⅱ中的仿真结果并指出了该设计的可行性及优越性。  相似文献   

6.
张雨光  黄启俊  常胜 《电子技术》2011,38(5):35-36,34
使用Verilog HDL硬件描述语言完成了对CAN总线控制器的设计,能够实现符合CAN2.0A协议的所有功能.本总线控制器的外部接口采用Altera公司开发的Avalon总线接口,增强了控制器的应用灵活性.本设计使用Modelsim软件完成了功能仿真和时序仿真.  相似文献   

7.
基于FPGA的USB设备控制器设计   总被引:1,自引:1,他引:0  
嵌入式系统向小型化和低功耗的方向发展要求减小板级设计的面积提高速率。基于嵌入式系统日益增长的规模和性能以及高速USB协议的要求,对USB设备控制器的系统进行相关模块的划分并利用Verilog硬件描述语言设计出高速USB接口IP核。经过仿真验证,该IP核符合高速USB协议的要求,满足系统对USB接口数据的传输需求。  相似文献   

8.
FPGA在步进电机控制中的应用   总被引:2,自引:0,他引:2  
贡亚丽  王文明 《电子技术》2009,36(11):11-12
步进电机是一种易于精确控制的电机,由于其良好的性能而受到广泛的应用,其控制方法也多种多样。本文介绍了在电机铁芯扭槽叠扣冲压控制系统中,使用FPGA芯片对步进电机进行控制的方法。  相似文献   

9.
基于FPGA的LED屏控制器设计   总被引:1,自引:0,他引:1       下载免费PDF全文
通过对大型户外全彩LED显示屏的研究,基于FPGA设计了一种LED显示屏的控制系统。该系统主要工作基于Altera公司提供的DE1开发板上进行设计,在Quartus II的软件开发环境下,采用层次化设计,用Verilog HDL语言建立分频时钟模块、数据采集和重组模块、扫描驱动模块,最后连接成一个整体的系统模块,进行仿真和调试,完成FPGA控制系统的设计。通过SPI通信协议发送数据,完成了64×64的LED屏的图形显示,从而验证了LED大屏幕的设计方法。本方案实现的显示控制系统方法,满足目前LED大屏幕区域显示和高速处理图像数据的要求,具有稳定性高、设计灵活等特点。  相似文献   

10.
基于FPGA的PCI接口控制器设计与实现   总被引:2,自引:0,他引:2  
胡菲  卢益明 《电子科技》2006,(7):71-74,79
给出了一种基于FPGA实现PCI总线接口控制器的设计方案,从而解决了视频码流高速传输问题,使视频解码芯片能够实时解码.设计在Mode1Sim环境下对Verilog HDL源程序进行前仿真,在Xilinx ISE环境下进行逻辑综合、布局布线后下载到Xilinx公司生产的XC2V6000芯片内.在驱动和应用程序的配合下,FPGA实验板在33MHz时钟频率下,视频数据传输率达到42MB/s,数据传输效果很好,完全符合PCI总线的要求,为能够实时解码提供了高速码流.  相似文献   

11.
《现代电子技术》2017,(19):150-152
针对现有直流无刷电机控制器设计方案的不足,提出一种基于FPGA平台的无刷直流电机控制器设计方案,采用FPGA设计电机转速、电流双闭环控制系统,系统硬件包括以FPGA为核心的控制电路和以电机为对象的驱动电路,系统软件采用Verilog HDL生成速度和电流采样模块、电机驱动换相模块、PWM生成模块等,同时在VGA上显示控制系统的运行状态。控制器测试实验结果表明,设计的控制器能使电机在启动后1 s内达到转速给定值,1 s后保持在稳态值的±2%内,表明该控制系统具有较高的控制精度和较好的稳定性。  相似文献   

12.
设计了一种基于FPGA的多通道直流电机控制系统,充分利用FPGA并行控制的特点,采用自顶而下的设计方法,将系统划分为转速测量模块,并行控制模块,PWM生成模块,电机驱动模块。采用Verilog HDL语言实现完成了对多通道直流电机的控制。通过Quartus II自带仿真功能对系统进行时序仿真表明系统结构简单,且具有良好的功能扩展性。  相似文献   

13.
提出一种基于FPGA的永磁同步电机控制器的设计方案.该设计可应用于具有高动态性能要求的永磁同步电机伺服控制系统。为提高伺服控制系统的实时性,简化电路及节省成本,该系统设计采用Ahera公司生产的CycloneⅢ EP3C25Q240C8型FPGA器件实现电机控制器。嵌入NiosⅡCPU软核配合片内硬件乘法器及可编程逻辑门阵列,实现软硬件协同工作。通过QuartusⅡ软件自带的SignalTapⅡ嵌入式逻辑分析仪进行板上调试验证。得到带有死区输出的PWM波形。该PWM波形可用于电机驱动。  相似文献   

14.
基于FPGA的永磁同步电机控制器设计   总被引:1,自引:0,他引:1  
提出一种基于FPGA的永磁同步电机控制器的设计方案,该设计可应用于具有高动态性能要求的永磁同步电机伺服控制系统.为提高伺服控制系统的实时性.简化电路及节省成本.该系统设计采用Ahem公司生产的CycloneⅢ EP3C25Q240C8型FPGA器件实现电机控制器.嵌入NiosⅡ CPU软核配合片内硬件乘法器及可编程逻辑门阵列.实现软硬件协同工作.通过QuartusⅡ软件自带的SignalTapⅡ嵌入式逻辑分析仪进行板上调试验证,得到带有死区输出的PWM波形.该PWM波形可用于电机驱动.  相似文献   

15.
介绍了一种基于FPGA的多轴控制器,控制器主要由ARM7(LPC2214)和FPGA(EP2C5T144C8)及其外围电路组成,用于同时控制多路电机的运动。利用Verilog HDL硬件描述语言在FPGA中实现了电机控制逻辑,主要包括脉冲控制信号产生、加减速控制、编码器反馈信号的辨向和细分、绝对位移记录、限位信号保护逻辑等。论文中给出了FPGA内部一些核心逻辑单元的实现,并利用QuartusⅡ、Modelsim SE软件对关键逻辑及时序进行了仿真。实际使用表明该控制器可以很好控制多轴电机的运动,并且能够实现高精度地位置控制。  相似文献   

16.
Novel stepper motor controller based on FPGA hardware implementation   总被引:2,自引:0,他引:2  
This paper proposes a novel stepper motor controller based on field programable gate arrays, showing a remarkable performance. The system provides a combination between a novel algorithm and programmable logic to achieve both high speed and high precision on a compact hardware.  相似文献   

17.
DDR SDRAM使用双倍数据速率结构,凭借其大容量,高数据传输速率和低成本优势,正在被越来越多地应用于高速数据采集系统中[1].使用Altera公司的Cyclone FPGA芯片设计实现了DDR控制器的功能,叙述了其设计思想,具有一定的实用价值.  相似文献   

18.
针对实现传统模糊PID控制器时,需要建立比例、积分和微分三个模糊控制器,存在模糊规则较繁杂、运算量大、速度慢等问题,提出了以PD模糊控制器代替PI模糊控制器,采用两个PD模糊控制器,并引入FPGA技术,实现模糊PID控制器.通过Quartus Ⅱ和Matlab联合仿真,比较了基于FBC和SBC实现的模糊PID控制器的控制效果,验证了设计方案的正确性和可行性.  相似文献   

19.
使用FPGA器件作为主控制芯片,采用模块化设计方法,通过硬件描述语言VHDL对电梯控制器各功能模块进行编程,实现了六层电梯控制器设计。经软件仿真和硬件实现,结果表明该方案能满足设计要求,并能扩展到多层电梯,有较强的实用性。  相似文献   

20.
针对模糊PID控制器多为单片机技术的软件实现,其实时性与抗干扰性能不理想,采用以FPGA作为核心控制器,采用模块化思想,设计并实现模糊自适应PID控制器的硬件设计方案。实际运行结果表明,采用该方法可明显改善效果,在简化设计的同时,也可提高系统的实时性和抗干扰能力。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号