首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 78 毫秒
1.
VHDL作为IEEE的标准硬件描述语言,主要用于数字电子系统EDA。IEEE正讨论该语言用于模拟电子系统设计的标准。国内也已逐渐采用VHDL设计集成电路和大型电子系统。文中结合HDB3编译码电路设计,介绍VHDL语言的状态机设计风格与设计过程。  相似文献   

2.
介绍了在VXI总线仪器构成的导弹测试系统中,利用ISP器件使接口电路设计简化,并用VHDL语言实现了ISP器件的内部逻辑,给出了设计的方法及部分VHDL源代码。  相似文献   

3.
分析了传统硬件电路设计的“自下而上”的方式和步骤,针对设计中存在的调试与试验相对滞后的问题,提出了采用“自上而下”的VHDL电路设计方法,按照硬件设计的三个层面,对行为级描述、寄存器传输级描述和逻辑综合进行了说明并给出了电路设计流程,通过SCI设计实例对该设计方法做了进一步的诠释和具体分析,为数字电路的VHDL语言设计提供了可借鉴的思路和方法.  相似文献   

4.
1.引言 VHDL(硬件描述语言)是美国国防部于20世纪70年代开始研究发展的电路设计工具,并于1987年成为IEEE的一种标准。VHDL可实现下至具体逻辑门的描述,上至系统行为描述。这种宽范围的描述能力使VHDL成为高层次设计的核心,而高层次的设计非常接近人们的日常习惯,不必经过  相似文献   

5.
资讯书坊     
VHDL设计实例与仿真内容简介:内容简介:本书从实际应用的角度出发,全面系统的介绍了VHDL在各种领域中的设计应用,以满足不同领域读者快速学习VHDL的需要。书中列举了大量典型的VHDL程序,同时给出了设计的仿真波形,从而使读者能够验证相应的的设计,并且能够掌握其中的设计技巧。相信通过本书的学习,读者能够迅速掌握VHDL的设计技巧,从而独立完成相应的设计项目。本书是广大电路设计工程师学习VHDL必不可少的参考书,同时也可作为高等院校相关专业师生的教材或教学参考书。  相似文献   

6.
VHDL是硬件电路设计的首选语言之一,也是信息类专业重要讲授内容。本文结合VHDL的特点,分别阐述了在VHDL教学中遇到的常见问题,这些相关问题涉及到顺序与并发描述语句错用、信号和变量赋值的区分以及变量初始化的方法及时刻,对每个问题给出了具体的实例和正确的解决方法,并提出了今后教学中的改进。  相似文献   

7.
采用VHDL语言设计了CPLD和LCD接口。设计中CPLD选用了Altera公司生产的EPM9560器件,采用320×240点阵图形式液晶屏进行显示,通过软件仿真验证了该程序设计的可靠性。验证了利用VHDL语言设计的电路能够灵活地修改参数,而且大幅提高了电路设计的通用性和可移植性。  相似文献   

8.
柴志成  罗俊宁 《电子世界》2014,(17):180-181
随着大规模集成电路的广泛应用,实用性和创新性欠缺的传统数字电路教学模式,已不再适应现代应用型人才的培养。本文提出了将VHDL描述语言融入数字电路教学中的改革方案,即通过具体实例说明,VHDL语言能在丰富教学内容的同时,降低电路设计难度,提高学生学习兴趣及设计能力,从而改进教学效果。  相似文献   

9.
在分析有限域运算的基础上。设计了能纠正一个符号错误的RS编码器,并给出了VHDL电路模型,利用XILINX公司的Foundation Series 3.1i集成设计环境完成了该RS编码器的VHDL源代码输入、功能仿真、布局与布线、时序仿真.并用XC9572PC84可编程逻辑芯片实现了该电路设计。  相似文献   

10.
PLD技术已经成为电子设计的主流。以计数器的设计为例,介绍了运用XilinxISE软件进行设计的3种方法:VHDL语言,原理图输入和IP Core实现。通过对设计实例的仿真分析表明:VHDL和原理图的实现方法分别依赖于语言和硬件电路,而IPCore实现法简单、高效,真正实现了EDA工具在电路设计中的强大作用。  相似文献   

11.
VHDL语言在电路设计中的优化   总被引:4,自引:2,他引:2  
陈志刚 《电子测试》2008,(9):75-77,86
VHDL设计是行为级的设计。利用VHDL设计电路是目前对于较复杂的电路系统进行设计时的最好选择,但设计中如何进行电路的简化直接关系到电路的复杂度及可靠性。VHDL语言的优化设计旨在充分利用CPLD/FPGA所提供的硬件资源,使项目设计能适配到一定规模的CPLD/FPGA芯片中,并提高系统的工作速度、降低系统功耗。优化的主要目标是减少适配所需要的宏单元数。本文分析了VHDL设计中容易引起电路复杂化的原因,提出了相应的解决方法。  相似文献   

12.
金凤莲 《现代电子技术》2005,28(6):115-116,122
介绍了VHDL语言及其基本特点,讨论了VHDL语言在EDA中的诸多优点,并以交通信号灯主控制电路的设计为例,说明了用VHDL语言设计数字电路的方法以及VHDL语言在数字电路设计仿真中的重要作用,给出了交通信号灯主控制电路的时序仿真波形。仿真结果表明VHDL语言应用于数字电路仿真是切实可行的,在跟踪性和快速性方面达到了令人满意的效果。  相似文献   

13.
VHDL语言在数字电路实验中的应用   总被引:1,自引:0,他引:1  
传统数字电路实验通常采用TTL或CMOS芯片,不能满足现代数字系统设计的要求。而应用VHDL的数字电路实验降低了数字系统的设计难度,因而应用更加广泛。通过介绍VHDL语言及VHDL语言的程序结构和设计流程,以数字钟为例描述VHDL语言设计数字电路模块化、自顶向下的设计方法,从而说明VHDL语言在数字电路实验中的优点,对实验教学有一定的指导作用。  相似文献   

14.
杨丹 《电子工程师》2006,32(11):53-55
探讨了在使用VHDL(甚高速集成电路描述语言)进行数字电路设计时,如何设置数字电路设计中的数据对象的若干问题。在简单介绍VHDL的发展后给出VHDL的数据对象(常量、信号和变量)一些基本概念的基础上,重点阐述了VHDL设计中的信号与变量这两个极易混淆的数据对象在使用上的区别,并通过若干相似实例程序的仿真波形图显示这一区别;最后给出了应用VHDL进行设计过程中应注意的问题。  相似文献   

15.
指出了电类专业的学生,应该熟悉掌握VHDL语言,探讨了把硬件描述语言引入数字电路教学首先要向学生介绍VHDL语言设计的基本内容,然后要求学生完成一个VHDL语言的综合设计。实践表明,这种方法有助于克服学习VHDL语言中的一些难点。  相似文献   

16.
VHDL语言是一种功能强大应用广泛的数字电路硬件描述语言,运用该语言进行集成电路芯片设计可以在不涉及具体电路情况下对集成电路芯片进行模块化设计并详细规定各个单元电路模块功能。此设计使用VHDL语言实现了一种自动售货机芯片,该芯片能够设定多种商品和货币的交易模式,并智能精确地完成交易过程。经MAXPLUS II进行仿真实验表明该设计具有设计全面、功能完善、交易准确等特点,达到了设计要求。  相似文献   

17.
随着集成电路技术的高速发展,VHDL已成为设计数字硬件时常用的一种重要手段。介绍EDA技术及VHDL语言特点,以串行加法器为例,分析串行加法器的工作原理,提出了一种基于VHDL语言的加法器设计思路,给出串行加法器VHDL源代码,并在MAX PLUSII软件上进行仿真通过。  相似文献   

18.
VHDL电路的优化目标是充分利用CPLD/FPGA芯片的内部资源,使设计文件能适配到一定规模的CPLD/FPGA芯片中,并提高系统的工作速度和降低系统成本。分析VHDL语言的特点,并从设计思想、语句运用和描述方法等方面对电路进行优化,提出了利用串行化设计思想和外扩E^2PROM的方法对VHDL电路进行优化,通过对比实验,验证了这两种方法能有效减少程序占用的宏单元(Macro Cell)。  相似文献   

19.
分析了硬件描述语言VHDL的特点、结构和描述;说明了基于VHDL进行数字逻辑电路设计的方法;结合实例介绍了VHDL在数字逻辑电路设计中的应用方法。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号