首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The optimization of a manufacturable self-aligned titanium silicide process is described. In particular, the integrity of the TiSi 2 layer has been studied versus the BPSG reflow conditions. Excellent contact resistance and very low leakage currents have been obtained. The good device parameters obtained with an n+ or n +/p+ gate have demonstrated that the self-aligned process can be integrated in a 0.8-μm double-metal CMOS process  相似文献   

2.
A low-resistance self-aligned Ti-silicide process featuring selective silicon deposition and subsequent pre-amorphization (SEDAM) is proposed and characterized for sub-quarter micron CMOS devices. 0.15-μm CMOS devices with low-resistance and uniform TiSi2 on gate and source/drain regions were fabricated using the SEDAM process. Non-doped silicon films were selectively deposited on gate and source/drain regions to reduce suppression of silicidation due to heavily-doped As in the silicon. Silicidation was also enhanced by pre-amorphization, using ion-implantation, on the narrow gate and source/drain regions. Low-resistance and uniform TiSi2 films were achieved on all narrow, long n+ and p+ poly-Si and diffusion layers of 0.15-μm CMOS devices. TiSi2 films with a sheet resistance of 5 to 7 Ω/sq were stably and uniformly formed on 0.15-μm-wide n+ and p+ poly-Si. No degradation in leakage characteristics was observed in pn-junctions with TiSi2 films. It was confirmed that, using SEDAM, excellent device characteristics were achieved for 0.15-μm NMOSFET's and PMOSFET's with self-aligned TiSi2 films  相似文献   

3.
An advanced 0.5-μm CMOS disposable lightly doped drain (LDD) spacer technology has been developed. This 0.5-μm CMOS technology features surface-channel LDD NMOS and PMOS devices, n+/p+ poly gates, 125-A-thick gate oxide, and Ti-salicided source/drain/gate regions. Using only two masking steps, the NMOS and PMOS LDD spacers are defined separately to provide deep arsenic n+ regions for lower salicided junction leakage, while simultaneously providing shallow phosphorus n- and boron p- regions for improved device short-channel effects. Additionally, the process allows independent adjustment of the LDD and salicide spacers to optimize the LDD design while avoiding salicide bridging of source/drain to gate regions. The results indicate extrapolated DC hot-carrier lifetimes in excess of 10 years for a 0.3-μm electrical channel-length NMOS device operated at a power-supply voltage of 3.3 V  相似文献   

4.
Submicrometer CMOS transistors require shallow junctions to minimize punchthrough and short-channel effects. Salicide technology is a very attractive metallization scheme to solve many CMOS scaling problems. However, to achieve a shallow junction with a salicide structure requires careful optimization for device design tradeoffs. Several proposed techniques to form shallow titanium silicide junctions are critically examined. Boron, BF2, arsenic, and phosphorus dopants were used to study the process parameters for low-leakage TiSi 2 p+/n and n+/p junctions in submicrometer CMOS applications. It is concluded that the dopant drive-out (DDO) from the TiSi2 layer to form a shallow junction scheme is not an efficient method for titanium salicide structure; poor device performance and unacceptably leaky junctions are obtained by this scheme. The conventional post junction salicide (PJS) scheme can produce shallow n+/p and p+/n junctions with junction depths of 0.12 to 0.20 μm below the TiSi2. Deep submicrometer CMOS devices with channel length of 0.40 to 0.45 μm can be fabricated with such junctions  相似文献   

5.
Transient voltage suppressors for electronic circuits with power supply voltage of 3.3 V or lower are urgently needed but unavailable due to excessive leakage of low-voltage reversed p-n diodes. We analyzed several candidate device structures by using two-dimensional device simulation. Adopting the punchthrough mechanism in an n+p+p-n+ structure rather than the traditional avalanche mechanism in a p+n+ structure, we can achieve low standoff voltage with excellent performances in low leakage current, low capacitance, and low clamping voltage. The new device appears to be satisfactory for protecting future electronic systems with power supply voltage at least down to 1.5 V  相似文献   

6.
A self-aligned retrograde twin-well structure with a buried p+-layer surrounding the n-well is presented. The retrograde twin well and buried p+-layer are fabricated by a single lithographic step using high-energy ion implantation. The retrograde n-well is self-aligned to the retrograde p-well regions, and the channel stop processes are eliminated by using tight spatial distributions of retrograde n- and p-wells. This simple process is compatible with both local oxidation of silicon (LOCOS) and trench isolation processes and allows a scalable CMOS structure for very tight n+-to-p+ spacing. The present CMOS structure provides high latchup immunity at 1.5-μm n+-to-p+ spacing and good isolation characteristics without additional n- and p-channel stop dopings  相似文献   

7.
A silicon light emitting device was designed and realized utilizing a standard 2-μm industrial CMOS technology design and processing procedure. The device and its associated driving circuitry were integrated in a CMOS integrated circuit and can interface with a multimode optical fiber. The device delivers 8 nW of optical power (450-850 nm wavelength) per 20-μm diameter of chip area at 4.0 V and 5 mA. The device emits light by means of a surface assisted Zener breakdown process that occurs laterally between concentrically arranged highly doped n+ rings and a p+ centroid, which are all coplanarly arranged with an optically transparent Si-SiO2 interface. Theoretical and experimental determinations with capacitances and series resistances indicate that the device has an intrinsic high-frequency operating capability into the near gigahertz range  相似文献   

8.
The retrograde twin wells and buried p+ layer are fabricated by a single lithographic step using high-energy ion implantation. The retrograde n-well is self-aligned to the retrograde p-well regions. This simple process allows a scalable CMOS structure for the very tight n+-to-p+ spacing. It provides latch-up immunity at the 1.5-μm n+-to-p+ spacing and good isolation characteristics without additional n- and p-channel stops  相似文献   

9.
Photon drag and photovoltaic response observed in p+/n and n+/p silicon junctions excited by moderated-power-density 10-μm radiation are discussed. A multistep multiphotonic process through intermediate states located within the energy gap is proposed as the mechanism responsible for the photovoltaic potential  相似文献   

10.
The fabrication of sub-0.1-μm CMOS devices and ring oscillator circuits has been successfully explored. The key technologies include: lateral local super-steep-retrograde (SSR) channel doping with heavy ion implantation, 40-nm ultrashallow source/drain (S/D) extension, 3-nm nitrided gate oxide, dual p+/n+ poly-Si gate electrode, double sidewall scheme, e-beam lithography and RIE etching for sub-0.1-μm poly-Si gate pattern, thin and low sheet resistance SALICIDE process, etc. By these innovations in the technologies, high-performance sub-0.1-μm CMOS devices with excellent short-channel effects (SCEs) and good driving ability have been fabricated successfully; the shortest channel length is 70 nm. 57 stage unloaded 0.1-μm CMOS ring oscillator circuits exhibiting delay 23.8 ps/stage at 1.5 V, and 17.5 ps/stage and 12.5 ps/stage at 2 V and 3 V, respectively, are achieved  相似文献   

11.
A fully-dry cleaning technique with Ar/H2 Electron Cyclotron Resonance (ECR) plasma was developed as a low contact resistance metallization technology for gigabit scale DRAM contacts. By combining with ECR TiN/Ti-CVD, extremely low contact resistances of 296 Ω and 350 Ω for 0.3-μm contact diameter with aspect ratio of 7 were realized on n+ and p+ diffusion layers, respectively. No leakage current was observed. By using this technology, a DRAM ULSI, which was planarized by Chemical Mechanical Polishing (CMP) and had deep contact holes with aspect ratio of 6, was successfully demonstrated  相似文献   

12.
Previously, we proposed n+-p+ double-gate SOI MOSFET's, which have n+ polysilicon for the back gate and p+ polysilicon for the front gate to enable adjustment of the threshold voltage, and demonstrated high speed operation. In this paper, we establish analytical models for this device, This transistor has two threshold voltages related to n+ and p+ polysilicon gates: Vth1 and Vth2, respectively. V th1 is a function of the gate oxide thickness tOx and SOI thickness tSi and is about 0.25 V when tOx/tSi=5, while Vth2 is insensitive to tOx and tSi and is about 1 V. We also derive models for conduction charge and drain current and verified their validity by numerical analysis. Furthermore, we establish a scaling theory unique to the device, and show how to design the device parameters with decreasing gate length. We show numerically that we can design sub 0.1 μm gate length devices with an an appropriate threshold voltage and an ideal subthreshold swing  相似文献   

13.
The three-terminal n+-i-δ(p+)-i-n+V-groove barrier transistor (VBT) has been successfully fabricated by molecular beam epitaxy (MBE). The base terminal is connected to the δ(p+), the thin p+layer, by depositing aluminum on the etched V-groove. The demonstrated device possesses high potential of ultra-high-frequency (f_{r} > 30-GHz), high-power, and low-noise capability due to carriers transporting by thermionic emission and being controlled by the base-emitter bias.  相似文献   

14.
Anomalously high parasitic resistance is observed when SiN gate sidewall spacer is incorporated into sub-0.25-μm pMOSFET's. The parasitic resistance in p+ S/D extension region increases remarkably by decreasing BF2 ion implantation energy to lower than 10 keV. It is confirmed that low activation efficiency of boron in p+ extension is the reason for such high parasitic resistance. The reduction of activation efficiency of boron may result from hydrogen passivation of boron acceptor; Fourier transform infrared absorption (FT-IR) measurement suggests that diffused hydrogen from SIN into p+ extension region forms the silicon-hydrogen-boron complex. It is also found that the activation efficiency of boron correlates well both with implantation energy of BF2 and the amorphization rate of substrate. Therefore, in sub-0.25-μm era, the extra amorphization step is essential not only to form a shallow junction but also to enhance boron activation. Germanium preamorphization implantation (Ge PAI) is hence applied to p+ extension of 0.15 μm pMOSFET's. It is finally demonstrated that this Ge PAI process reduces the total parasitic resistance to improve the drain saturation current by up to 10%  相似文献   

15.
We proposed a new p+/n+ poly-Si gate bulk fin-type field-effect transistor that has two channel fins separated locally by a shallow trench filled with oxide or p+ polygate. Key device characteristics were investigated by changing the n+ poly-Si gate length La, the material filling the trench, and the width and length of the trench at a given gate length Lg. It was shown that the trench filled with p+ poly-Si gate should not be contacted with the source/drain diffusion region to achieve an excellent Ion/Ioff (> 1010) that is suitable for sub-50-nm dynamic random access memory cell transistors. Based on the aforementioned device structure, we designed reasonable Ls/Lg and channel fin width Wcfin at given Lg 's of 30, 40, and 50 nm.  相似文献   

16.
To investigate the highly boron-doped SiO2 film, p+ polysilicon-gate PMOSFETs and capacitors were fabricated using the same process as is used for surface-channel-type n+-gate devices, except for the gate-type doping. After the application of negatively biased Fowler-Nordheim (FN) stress, it was found that positive charges accumulate near the silicon/SiO2 interface and electrons accumulate near the polysilicon/SiO2 interface in p+-gate capacitors. DC hot carrier stress was applied to both PMOSFET gate types. The p+ gate's stress time dependence of Isub is smaller than that of the n+ gate, and the electric field near the drain in the p+ -gate PMOSFET was found to be more severe than that of the n+ -gate device. The subthreshold slope of the p+-gated PMOSFET was improved and then degraded during the hot carrier stressing, while that of the n+-gated device did not significantly change. The actual change of Vth was larger than the value derived from Δgm using the channel-shortening concept. The idea of widely spreading and partially compensated electron distribution along with source-drain direction in the SiO2 film, which assumes the existence of trapped holes in the p+-gate PMOSFET, is proposed to explain these phenomena  相似文献   

17.
The fabrication of p-channel and n-channel MOSFETs with sub-quarter-micrometer n+ polysilicon gates, have been fabricated using extremely shallow source-drain (S-D) junctions, is reported p+-n junctions as shallow as 80 nm have been fabricated using preamorphization low-energy BF2 ion implantation and rapid thermal annealing, and 80-nm n+-p junctions have been fabricated using low-energy arsenic ion implantation and rapid thermal annealing. n-channel MOSFETs with 80-mm S-D junctions and 0.16-μm gate lengths have been fabricated, and a maximum transconductance of 400 mS/mm has been obtained. 51-stage n-channel enhancement-mode/enhancement-mode (E/E) ring oscillators and p-channel E/E ring oscillators with extremely shallow S-D junctions have also been obtained  相似文献   

18.
We demonstrate a new and improved borderless contact (BLC) Ti-salicide process for the fabrication of sub-quarter micron CMOS devices. A low-temperature chemical vapor deposition (CVD) SiOx Ny film to act as the selective etching stop layer and the additional n+ and p+ source-drain double implant structure (DIS) are employed in the studied device. The additional n+ and p+ DIS can reduce the junction leakage current, which is usually enhanced by BLC etching near the edge of shallow trench isolation (STI). The process window is enlarged. Furthermore, the employed low-thermal oxynitride and high deposition rate can improve the salicide thermal stability and avoid the salicide agglomeration  相似文献   

19.
We proposed a new bulk FinFET that has a p+/n+ poly-Si gate consists of p+ region near the source and n+ region near the drain and analyzed current-voltage characteristics and electric field profiles of 50-nm devices by changing the n+ poly-Si gate length (Ls). For given gate length (Lgles50 nm) and fin body width (Wfinles30 nm), Ls was designed to satisfy the I off requirement (i.e., 1 fA) of DRAM cell. Optimum Ls /Lg of 30-nm device was ~0.4 at a Wfin of 10 nm and ~0.2 at a Wfin of 15 nm  相似文献   

20.
This paper reports the first successful fabrication of high-performance, 0.1-μm p+-gate pseudomorphic heterojunction-FET's (HJFET's). By introducing the two-step dry-etching technique which compensates for the poor dry-etching resistance of PMMA, 0.1-μm or less gate-openings with a high aspect-ratio of 3.5 in SiO 2 film are achieved. In addition, by using the gate electrode filling technique with selective MOMBE p+-GaAs growth, 0.1-μm voidless p+-GaAs gate electrodes with a high aspect-ratio are achieved for the first time. The fabrication technology leads to a reduction of external gate fringing capacitance (Ceext f) in a T-shaped gate-structure and an improvement in gate turn-on voltage. The fabricated 0.1-μm, T-shaped, p+-gate n-Al0.2Ga0.8As/In0.25Ga0.75 As HJFET exhibits a high gate turn-on voltage (Vf) of about 0.9 V, and a good gmmax of 435 mS/mm. Also, an excellent microwave performance of fT=121 GHz and fmax =144 GHz is achieved due to the Cextf reduction. The technology and device show great promise for future high-speed applications, such as in power devices, MMIC's, and digital IC's  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号