首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
A UV imprint lithography tool has been developed for micro/nano-scale patterning in an extremely large area, i.e., ∼300 × 400 mm2. To achieve high pattern fidelity, residual-layer thickness uniformity, and an air bubble-free layer in a large area, the UV imprint tool has several main components including a silicon rubber uniform pressurizer, a large area UV-LED module, a vacuum pump, a chuck module, etc. Contact and structural analyses have been performed using commercial FEM packages such as LS-DYNA and ANSYS. The developed tool has been tested, and its performance indices including pattern fidelity and residual-layer thickness uniformity have been measured to be ∼97% and ∼90%, respectively.  相似文献   

2.
Recently, nano imprint lithography has been developed for mass production of nano-scale patterns on large-scale substrates. To achieve high throughput and cost reduction, roll-to-roll imprint lithography has been introduced. The roll-to-roll imprint is the suitable process for large area patterning, especially, flexible substrates for display devices. In this study, roll-to-roll imprint stamp is fabricated using poly-vinyl alcohol (PVA) mold and UV curable poly-dimethylsiloxanes (PDMS) resin for continuous roll imprinting process. The PVA mold was chosen since it is flexible and can be dissolved in water. Since the PDMS can form thin SiOx layer on the surface by oxygen plasma treatment, silane based hydrophobic anti-stiction layer can be formed directly on the surface of PDMS. As a result, nano-sized patterns were successfully formed on the flexible PET films by UV roll imprinting with the fabricated roll stamp.  相似文献   

3.
The fabrication of microlenses is of great interest for several applications in the field of optics like wafer level cameras, homogenization of light, and coupling of light into glass fibers. Especially for low-cost optical products, microlenses have to be fabricated with a high throughput at an adequate quality. One way to fulfil these requirements is the patterning of microlenses by UV imprint lithography (UV-IL). Within this work, microlenses were replicated into the UV curing material PAK-01 by step and stamp UV-IL on silicon substrates with a diameter of 150 mm. The resulting substrates were used as masters to cast PDMS templates. These PDMS templates can be used for high throughput full wafer UV-IL. Additionally, quartz substrates with a diameter of 100 mm were patterned which could be directly used as so called “optowafers”. Master and patterned microlenses were inspected by scanning electron microscopy and with a white light profilometer. The results clearly demonstrate the excellent quality of the replication process and the capability of UV-IL to pattern microlenses on full wafer level for high throughput applications.  相似文献   

4.
In UV nanoimprint lithography (NIL) with elastic mould, a novel multi-step loading and demoulding process, called distortion reduction by pressure releasing (DRPR) and two-step curing method for demoulding, is developed. This novel imprint process is continuous, the pressure releasing method, used to optimize the loading process, can reduce the distortions of imprint mould and wafer stage, while obtain better cavity filling and thin and uniform residual layer; through two-step curing method instead of traditional simple demoulding, the curing degree of resist can be controlled, which is helpful to decrease the demoulding force and avoid residual layer pulled-up while ensure replicated protrusions not collapse. It is a novel and robust process with high fidelity of pattern replication in micro/nano structures fabrication, and the replication error caused by distortions and “blind” demoulding can be reduced effectively.  相似文献   

5.
We developed a nano-structure fabrication technology over a cylindrical roller using electron beam lithography (EBL) and a specimen rotation apparatus. The high-resolution patterning is done on a cylindrical roller specimen and it is achieved by controlling the thickness of photo-resistance (PR) and dosage of the electron beam (EB). We successfully obtained homogeneous arrays of one-third circle grating with a nano-scale width 100 nm and a large area of 6 × 3.5 mm square. Couples of the “ITRI” character marks were also fabricated. The stitching control was accurately derived using the in-house made two-axis rotation system, which provides the smallest stitching of about 1.6 μm. The minimum feature size of 100 nm over the cylindrical roller is demonstrated. Moreover, the Nicole template with “ITRI” character pattern on the cylindrical roller was also successfully made, of which thickness is about 80 nm.  相似文献   

6.
Nanoimprint lithography is in the spotlight of the nano technology field for its ability to produce large area patterning [1], [2], [4]. This kind of lithography is also able to fabricate three-dimensional functional structures all at once. In order to fabricate three-dimensional structures for an entire wafer, simple fabrication of three-dimensional large area stamp that combines micro- and nano-scale patterns is required. This paper proposes, the fabrication process of three-dimensional large area stamp that incorporates both micro- and nano-scale pattern. The three-dimensional stamp, which accounts for areas that range from 70nm to 3um, is fabricated on a Si substrate using nanoimprint lithography and optical lithography.  相似文献   

7.
《Microelectronic Engineering》2007,84(5-8):853-859
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and flash imprint lithography (S-FIL) is a unique method that has been designed from the beginning to enable precise overlay for creating multilevel devices. A photocurable low viscosity monomer is dispensed dropwise to meet the pattern density requirements of the device, thus enabling imprint patterning with a uniform residual layer across a field and across entire wafers. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates.This paper addresses steps required to achieve resolution at or below 32 nm. Gaussian-beam writers are now installed in mask shops and are being used to fabricate S-FIL templates. Although the throughput of these systems is low, they can nevertheless be applied towards applications such as unit process development and device prototyping.Resolution improvements were achieved by optimizing the ZEP520A resolution and exposure latitude. Key to the fabrication process was the introduction of thinner resist films and data biasing of the critical features. By employing a resist thickness of 70 nm and by negatively biasing features as much as 18 nm, 28 nm half-pitch imprints were obtained. Further processing improvements show promise for achieving 20 nm half-pitch features on a template.  相似文献   

8.
This paper presents a novel process chain for fabrication of replication masters for serial manufacture. The proposed process chain is validated for serial fabrication of (large area) organic electronic devices on flexible substrates. The advantages and limitations of the component technologies in the proposed manufacturing route are discussed and their interdependencies in a process chain for producing both 2.5D and 3D nano- and micro-structures are analysed. The proposed master-making route relies on using different technologies for micro-structuring and sub-micron and nano patterning that are applied to the fabrication of Ni shims incorporating different length scale features. In particular, the capabilities of photolithography as a micro-structuring technology were combined with those of FIB machining to add sub-micron and nano-features on micro patterned fused silica templates. Then, by applying UV nanoimprint lithography such templates were validated and their nano and micro-structures were consistently replicated in one step. Finally, the feature transfer of such imprints onto Ni shims was also successfully accomplished with only minor deviations from the target dimensions.  相似文献   

9.
Nanoimprint lithography is a high-throughput, low-cost, non-conventional lithographic method for fabricating micro/nanoscale patterns. In this study, we will present recent achievement in developing nanoimprint lithography for LCD–TFT fabrication. We fabricated metal gate for LCD–TFT with imprinting process. First, mold is pressed into a thin resist cast on a Cr layer which is deposited on a glass substrate. And the pressed resin is exposed to UV for curing, followed by demolding process. To acquire metal gate for LCD–TFT, subsequent process such as RIE with O2 Plasmas, wet etching of Cr and striping of remained resin is followed. Finally, the fabricated metal gate has 3.5 μm level width, 97% uniformity overall on 1G size in a single imprint. Herewith nanoimprint lithography can substitute conventional photolithography steps in LCD–TFT process. Also it is expected that large area fine pattering such as functional optical films and PCBs could be effectively produced by nanoimprint process.  相似文献   

10.
This paper reports a novel imprint technique for fabrication of polymeric submicron-scale structures. In use of electromagnetic force to press the magnetic stamp written with submicron-scale structures into a UV-curable resist on the substrate, the liquid photopolymer can be patterned at room temperature.In this study, an electromagnetic force assisted imprinting facility with UV exposure capacity has been designed, constructed and tested. Under the proper processing conditions, the polymeric submicron-scale structure with feature size of 500 nm across a 150 mm2 area can be successfully fabricated. Scanning electron microscopy (SEM) and atomic force (AFM) observations confirm that the submicron-scale polymer structures are produced without defects or distortion and with good pattern fidelity over a large area. This technique shows the potential for efficient fabrication of submicron-scale structures at room temperature and low pressure on large substrates with high productivity at low cost.  相似文献   

11.
《Microelectronic Engineering》2007,84(5-8):916-920
This paper describes the fabrication steps developed to pattern nano scale features on thin silica wafers. The optimization of e-beam exposure dose is presented. The use of a chrome layer on top of the silica wafer implies higher doses to crosslink the negative NEB22 resist, but the results show a very large window process. Specific etching processes have been developed. It is demonstrated how the micro-trenching and CD bias are reduced. Thanks to the optimization of both the exposure dose during e-beam lithography and the plasma dry etch steps, features with a resolution as low as 30 nm have been achieved.  相似文献   

12.
A novel technique for the fabrication of tin-doped indium oxide (ITO) fine patterning in sol-gel technology is presented in this paper. The fabricated ITO fine patterning could be obtained through a process which combines film fabrication with film etching. ITO films have good comprehensive property of visible transmittance and electrical conductivity, consequently they have been extensively used as coating electrodes. Indium nitrate (In(NO/sub 3/)/sub 3/.4.5H/sub 2/O) and stannic chloride ( SnCl/sub 4/.5H/sub 2/O) were used as starting materials which were modified with benzytone (BzAcH). The chelate complexes containing indium ions were produced during the process which of forming photosensitive ITO/BzAcH gel films through sol-gel technique. It was found that the gel films are sensitive to both the ultraviolet (UV) light irradiation and their solubility on solvents as well. For example, ethanol was reduced remarkably while the UV absorption peak disappeared with the dissociation of the chelate complexes correspondingly by means of UV-vis and IR spectrophotometers. Utilizing these characteristics, a fine pattern was obtained by irradiation of UV light on the ITO/BzAcH gel films through a pattern mask. of the fine patterned ITO films were heat treated at 500/spl deg/C for 15 min, the optical, electrical properties and the surface element components were examined by X-ray photoelectron spectroscopy (XPS) spectra in this work.  相似文献   

13.
《Microelectronic Engineering》2007,84(5-8):904-908
An improved mold fabrication process that utilizes toluene diluted polydimethylsiloxane (PDMS) as flexible mold material was developed. Various toluene concentrations and their implication on the pattern definition using the Soft UV-Nanoimprint process were analyzed and discussed. Dots with a resolution of 50 nm are well replicated and an excellent imprint homogeneity across a 4 in. wafer with one imprint step only is demonstrated.  相似文献   

14.
Imprint specific process parameters like the residual layer thickness and the etch resistance of the UV polymers for the substrate etch process have to be optimized to introduce UV nanoimprint lithography (UV NIL) as a high-resolution, low-cost patterning technique for research and industry into electron device manufacturing. Additionally, UV NIL processes have to be compatible with conventional silicon (Si) semiconductor processing. Within this work, the minimization of the residual layer thickness by using a multi-drop ink-jet system, which was integrated into the imprint stepper NPS300 from S-E-T-(formerly SUSS MicroTec), in combination with a low viscous UV polymer from Asahi Glass Company is shown. The etch resistance of different UV polymers against the poly-Si etch process was increased by 50% with an appropriate post-exposure bake. A poly-Si dry etch process was used to pattern the gates of short channel MOSFETs. After optimizing the poly-Si etch, properly working short channel MOSFETs with a minimum gate length of about 90 nm were fabricated demonstrating successfully the compatibility of UV NIL with conventional Si semiconductor processing on nanosized scale.  相似文献   

15.
In this study, we explored a rapid and low-cost process for patterning in a SU-8 photoresist by thermal imprinting with a non-transparent mold such as Ni mold. One of major obstacles in the process is that the extremely good formability of uncured SU-8 even near room temperature causes the collapse of imprinted patterns during and after de-molding because a sample cannot be exposed to UV light during imprinting owing to the non-transparency of a mold. To overcome this problem, un-cured SU-8 resists were pre-treated with UV light, heat, and O2 plasma for controlling their formability, and applied to thermal imprint tests to be compared each other in terms of the replication fidelity. As a result, a SU-8 sample pre-treated with UV light for 8 s resulted in the best replication quality for given imprint conditions and mold dimensions, and we could successfully replicate micro patterns in SU-8 resist without a quartz mold. As compared with conventional UV-imprint processes, this process has potential merits such as a lower mold cost, an easier mold release and a less air-entrapment.  相似文献   

16.
Si master molds are generally patterned by electron-beam lithography (EBL) that is known to be a time-consuming nanopatterning technique. Thus, developing mold duplication process based on high throughput technique such as nanoimprint lithography can be helpful in reducing its fabrication time and cost. Moreover, it could be of interest to get inverted patterns (holes instead of pillars) without changing the master EBL process. In this paper, we propose a two step process based on thermal nanoimprint lithography (T-NIL) (step 1) and soft UV assisted nanoimprint lithography (UV-NIL) (step 2) to invert a master EBL mold. After the two inversion steps, the grand-daughter Si mold exhibits the same pattern polarity as the EBL mold. For step 1, pattern transfer using ion beam etching (IBE) of a thin metallic underlayer is the critical step for dimension control due to the low NXR1020 resistance. For step 2, the optimized reactive ion etching (RIE) step allows transfer with good anisotropy even for nanostructures at the 50 nm-scale. For structures larger than 100 nm, this inversion process has been successfully applied to large field replication (up to 1.5 cm2) on whole wafer.  相似文献   

17.
为了获得更高性能的TFT-LCD面板,在光刻时保证精细的图形成像十分重要,其中,如何制作出尺寸更小的通孔图形是主要的问题之一。本文提供的研究中,我们只简单地改变了一下烘烤工艺,而不需要改变Eop和显影时间,就可以将通孔图形的尺寸减小20 %~25 %。在后续的刻蚀工艺中,通孔的尺寸能显著减小。  相似文献   

18.
Micro and nano structures of carbonised polymers resulting from the pyrolytic transformation of polymer structures are presented. Polymers have become increasingly popular as materials for micro/nano electromechanical systems (MEMS/NEMS), especially for chemical or biological application. Focus is on the transformation of polymer structures into carbonised structures using a pyrolysis process. Combination of this pyrolysis process with conventional MEMS/NEMS fabrication technology could provide various fine structures of carbonised polymer. Carbonised polymers have advantages over conventional carbon materials, with respect to compatibility with MEMS, because they can be transformed directly from a polymer structure. Three-dimensional micro and nano free-standing structures of carbonised polymer as typical MEMS/NEMS structures are reported. Micro molding process is used to demonstrate a unique polymer structure to be pyrolysed. Furthermore, EB lithography technology is employed for the patterning of polymers in addition to UV photolithography which is used by previous researches. A 100 nm wide bridge structure is designed as nano structures. In addition, the presented structures of carbonised polymer are expected to be applied to micro and nano functional devices such as electrochemical sensors by making the best use of their carbon-like features  相似文献   

19.
The compound Cu(Ga,In)Se2 (CIGS), and related compounds, have demonstrated their high potential for high-efficiency thin-film solar cells up to levels approaching 18%. It is expected that this quality can be further improved by optimizing process conditions and combining the CIGS with other group I, III and VI elements. Other material combinations are under development. Several companies and research institutes are developing CIGS-based technology with the aim of low cost/high volume production. The key process is a scalable technology to fabricate highest quality CIGS films on a large area with high throughput and process yield. The Centre for Solar Energy and Hydrogen Research (ZSW) and the University of Stuttgart (IPE) are working together on CIS technology. On the module basis ZSW is negotiating with private companies to commercialize module technology. These activities are compared with others worldwide. With the aim of developing relevant high-volume fabrication technologies, all laboratory deposition techniques that have proven highest device performance are applied also on the module level to prevent physical and chemical effects that could limit device performance. All film deposition techniques are developed for high-vacuum in-line fabrication on a large area except for the buffer layer of CdS, and monolithic integration is realized by patterning steps. Modules are prepared on substrate areas of 10×10 up to 30×30 cm2. Actual results of modules of these sizes are 14% and 10%, respectively. Estimations of fabrication costs with increasing fabrication volume show that it is possible to produce CIGS modules at costs well below US$1 W−1p. © 1998 John Wiley & Sons, Ltd.  相似文献   

20.
The global LED (light emitting diode) market reached 5 billion dollors in 2008 and will be driven towards 9 billion dollors by 2011 [1]. The current applications are dominated by portable device backlighting, e.g. cell phones, PDAs, GPS, laptop etc. In order to open the general lighting market doors the luminous efficiency needs to be improved significantly. Photonic crystal (PhC) structures in LEDs have been demonstrated to enhance light extraction efficiency on the wafer level by researchers [2]. However, there is still a great challenge to fabricate PhC structures on LED wafers cost-effectively. Nanoimprint lithography (NIL) [3] has attracted considerable attentions in this field due to its high resolution, high throughput and low cost of ownership (CoO). However, the current NIL techniques with rigid stamps rely strongly on the substrate flatness and the production atmosphere. Those factors hinder the integration of NIL into high volume production lines. UV-NIL with flexible stamps [4], e.g. PDMS stamps, allows the large-area imprint in a single step and is less-sensitive to the production atmosphere. However, the resolution is normally limited due to stamp distortion caused by imprint pressure.A novel NIL technique developed by Philips Research and Süss MicroTec, substrate conformal imprint lithography (SCIL), bridges the gap between UV-NIL with rigid stamp for best resolution and soft stamp for large-area patterning. Based on a cost-effective upgrade on Süss mask aligner, the capability can be enhanced to nanoimprint with resolution of down to sub-10 nm on an up to 6 inch area without affecting the established conventional optical lithographic processes on the machine. Benefit from the exposure unit on the mask aligners, the SCIL process is now extended with UV-curing option, which can help to improve the throughput dramatically. In this paper, the fabrication of photonic crystal structures with SCIL technique on Süss MA6 mask aligner is demonstrated. In addition, the industrialization considerations of UV-SCIL process in high volume manufacturing are briefly discussed.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号