首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The luminescence centers and their conversion as a result of electron bombardment and annealing in CdS single crystals which were not specially doped and which were doped with copper have been investigated. The Cu atoms, which interact mainly with defects in the cadmium sublattice, form CuCd, which are responsible for luminescence at wavelengths λm=0.98−1.00 μm. At annealing temperatures above 50 °C, conversion of the defect complexes, which are responsible for the green (λm=0.514 μm), red (λm=0.72 μm), and infrared (λm=0.98 μm) luminescence, occurs as a result of an increase in the mobility of point defects in the cadmium and sulfur sublattices of CdS:Cu. Fiz. Tekh. Poluprovodn. 31, 1013–1016 (August 1997)  相似文献   

2.
The relaxation of a silicon defect subsystem modified by the implantation of high-energy heavy ions was studied by varying the electrical properties of irradiated Si crystal annealed at a temperature of 450°C. It is shown that quenched-in acceptors are introduced into Si crystals as a result of irradiation with comparatively low doses of Bi ions and subsequent relatively short annealing (no longer than 5 h); the distribution of these quenched-in acceptors has two peaks located at a depth of about 10 μm and at a depth corresponding approximately to the ions’ projected range (43.5 μm). The peaks in the distribution of quenched-in acceptors correspond to the regions enriched with vacancy-containing defects. As the heat-treatment duration increases, the acceptor centers are transformed into donor centers with the centers’ spatial distribution remaining intact. Simultaneously, an almost uniform introduction of quenched-in donors occurs in the entire crystal beyond the depth corresponding to the projected range of ions. __________ Translated from Fizika i Tekhnika Poluprovodnikov, Vol. 37, No. 5, 2003, pp. 565–569. Original Russian Text Copyright ? 2003 by Smagulova, Antonova, Neustroev, Skuratov.  相似文献   

3.
Bonding and thermal stability of implanted hydrogen in silicon   总被引:1,自引:0,他引:1  
The behavior of implanted hydrogen in Si has been investigated by differential infrared transmittance measurements using multiple-internal-reflection (MIR) plates. Si-H bonding of implanted hydrogen is detected by seven absorption bands between 4.5 and 5.5 μm after implantation with 1016 H+/cm2 at ion energies between 70 and 400 keV. The absorption bands are close in frequency to those for SiH stretching modes for silane, and they are produced only by hydrogen implantation. Implantation with deuterium gave absorption bands shifted to lower frequencies in accord with the square root of the reduced mass ratio for Si-H relative to Si-D. The multiplicity of hydrogen-associated bands is apparently a consequence of defects in the implanted layer. A dependence of the hydrogen-associated bands on the disorder is suggested by the annealing loss of five of the initial seven bands, and a growth of the other two, for the same temperatures (100–300°C) as those for annealing out the broad divacancy band at 1.8 μm. A disorder dependence of the Si-H vibrational frequencies is further demonstrated by a regeneration of the bands annealing below 300°C when a hydrogen-implanted MIR plate annealed at 300°C was subsequently bombarded with neon. In addition to the seven resolved bands after H+ implantation, five other bands in the same range of frequencies grow in and anneal out between 100 and 700°C. Annealing at 700°C eliminates all SiH bands, and they cannot be regenerated by bombardment with other ions. It is suggested that implanted hydrogen in Si is bonded at defect sites, and that a loss of an SiH band is caused by either a change in charge state of a defect or by the loss of a defect. This work was supported by the United States Atomic Energy Commission  相似文献   

4.
Shallow p+/n junctions are produced by low-energy (10-keV) boron implantation into amorphous silicon layers formed by a prior implantation of Si+ ions. Junctions about 0.1 µm deep with good electrical characteristics (reverse current density Jr< 10-7A/cm2at - 1 V) are obtained both by electron-beam annealing (1100°C, 2 s) and conventional furnace annealing (800°C, 30 min). It is shown that, in the case of the furnace treatment, lower annealing temperatures produce very high reverse currents, while excellent electrical characteristics (Jr< 10-8A/cm2) are achieved at higher annealing temperatures (900°C), the junction extending, however, much deeper into silicon (0.26 µm).  相似文献   

5.
A combination of a high-dose (5 s- 1016 cm-2) implantation of Al ions into epitaxial n-type 4H SiC layers grown by chemical deposition from th e vapor phase and rapid (15 s) thermal annealing at 1700–1750°C has been used to form layers with a rectangular impurity profile according to the mechanism of solid-phase epitaxial crystallization. The combined effects of enhanced diffusion of radiation defects after implantation and gettering of defects during annealing bring about an improvement in the quality of the initial material, which ensures an increase in the diffusion length of the minority charge carriers by several times. Metastable states annealed within different temperature ranges are formed in SiC under the effect of irradiation with various particles. Low-temperature annealing of radiation defects increases the radiation and temporal lifetime of devices under irradiation. High-temperature annealing of radiation defects makes it possible to vary the lifetime of nonequilibrium charge carriers, i.e, vary the frequency range of devices. The radiation resistance of SiC-based devices increases as the operation temperature is increased to 500°C.  相似文献   

6.
The influence of the temperature of secondary annealing, stimulating the formation of optically and electrically active centers, on the erbium ion electroluminescence (EL) at λ≈1.54 μm in (111) Si:(Er,O) diodes has been studied. The diodes were fabricated by the implantation of 2.0 and 1.6 MeV erbium ions at doses of 3×1014 cm−2 and oxygen ions (0.28 and 0.22 MeV, 3×1015 cm−2). At room temperature, the EL intensity in the breakdown mode grows with the annealing temperature increasing from 700 to 950°C. At annealing temperatures of 975–1100°C, no erbium EL is observed in the breakdown mode owing to the formation of microplasmas. The intensity of the injection EL at 80 K decreases with the annealing temperature increasing from 700 to 1100°C. __________ Translated from Fizika i Tekhnika Poluprovodnikov, Vol. 35, No. 10, 2001, pp. 1224–1227. Original Russian Text Copyright ? 2001 by Sobolev, Emel’yanov, Nikolaev.  相似文献   

7.
Structures with aluminum-ion-implanted p +-n junctions formed in 26-μm-thick chemicalvapor-deposited-epitaxial 4H-SiC layers with an uncompensated donor concentration N d ?N a = (1–3) × 1015 cm?3 are irradiated with 167-MeV Xe ions at fluences of 4 × 109 to 1 × 1011 cm?2 and temperatures of 25 and 500°C. Then as-grown and irradiated structures are thermally annealed at a temperature of 500°C for 30 min. The as-grown, irradiated, and annealed samples are analyzed by means of cathodoluminescence, including the cross-sectional local cathodoluminescence technique, and electrical methods. According to the experimental data, radiation defects penetrate to a depth in excess of several tens of times the range of Xe ions. Irradiation of the structures at 500°C is accompanied by “dynamic annealing” of some low-temperature radiation defects, which increases the radiation resource of 4H-SiC devices operating at elevated temperatures.  相似文献   

8.
The effect of thermal annealing in the temperature range T a=300–600°C of films of microcrystal-line hydrogenated silicon (μc-Si:H) lightly doped with boron on the spectral dependences of the absorption coefficient (α) at photon energies hν=0.8–2.0 eV, dark conductivity (σd), and photoconductivity (Δσph) was studied at room temperature. With increasing annealing temperature, a nonmonotonic variation of α (at hν<1.2 eV), σd, and Δσph was observed. The data obtained are attributed to a change in the concentration of electrically active impurities and formation of defects, caused by hydrogen effusion and bond restructuring at high annealing temperatures.  相似文献   

9.
Data are presented demonstrating the use of MeV oxygen ion implantation and subsequent annealing procedures to induce compositional disordering and to create a semiinsulating region simultaneously within an AlAs-GaAs superlattice. High dose oxygen implantation yields a compositionally disordered region 3500? wide centered 1.25 μm below the surface of the superlattice, as determined by secondary ion mass spectrometry (SIMS) analysis. More extensive disordering of the superlattice occurs at lower implantation temperatures. Current-voltage measurements indicate the formation of a semiinsulating layer which is thermally stable to at least 850° C. The semi-insulating properties of the implanted superlattice are assigned to the disorder-enhanced formation of Al-O pairs and the substitutional introduction of deep level states.  相似文献   

10.
p +-n-n + detector structures based on CVD films with an uncompensated donor concentration of 2 × 1014 cm?3 have been studied. The p +-region was created by implantation of Al ions. The detectors were preliminarily irradiated with 8-MeV protons at a fluence of 3 × 1014 cm?2 and then annealed at 600°C for 1 h. In measurements performed in the temperature range 20–150°C, the forward-and reverse-bias modes were compared. It is shown that the annealing leads to a higher collection efficiency of carriers generated by nuclear radiation and to a decrease in the amount of charge accumulated by traps in the course of testing. Despite the positive effect of the annealing, a considerable amount of radiation defects remain, which is manifested, in particular, in the kinetics of the forward current.  相似文献   

11.
Protons with energy E=100 keV were implanted with doses ranging from 2×1017 to 4×1017 cm?2 into 6H-and 4H-SiC n-type samples at room temperature. The samples were subjected to various types of postimplantation heat treatment in the temperature range 550–1500°C. The parameters of the samples were studied by measuring the capacitance-voltage and current-voltage characteristics and by analyzing the photoluminescence spectra. Blistering on the surface of the sample is observed after annealing the samples at a temperature of 800°C only after implantation of protons with a dose of ≤3×1017 cm?2. A decrease in the resistivity of the compensated layer sets in after annealing at a temperature of ~1200°C and is completed after annealing at a temperature of ~1500°C. A drastic decrease in the photoluminescence intensity is observed after implantation for all types of samples. Recovery of the photoluminescence intensity sets in after annealing at temperatures ≥800°C and is complete after annealing at a temperature of 1500°C.  相似文献   

12.
We investigated the electrical properties of Ti(30?nm)/Al(200?nm) contacts to molecular beam epitaxy-grown N-polar n-GaN with different carrier concentrations. Samples with carrier concentration of 1.2?×?1018?cm?3 showed nonohmic behaviors when annealed at 300°C, but ohmic at 500°C and 700°C. All samples with carrier concentration of 2.0?×?1019?cm?3 exhibited ohmic behavior. x-Ray photoemission spectroscopy (XPS) results showed that, for samples with carrier concentration of 1.2?×?1018?cm?3, the Ga 2p core levels shift to lower or higher binding energy upon annealing at 300°C or above 500°C, respectively. Scanning transmission electron microscopy (STEM) results showed that, for samples with carrier concentration of 1.2?×?1018?cm?3, a wurtzite AlN layer (??2?nm thick) formed at the metal/GaN interface when the samples were annealed at 500°C. An interfacial wurtzite AlN layer also formed upon annealing at 700°C, but its thickness was ??4?nm. Based on the XPS and STEM results, the ohmic contact formation and degradation mechanisms are described and discussed.  相似文献   

13.
The effect of tin on the formation and annealing of radiation defects in p-type germanium crystals irradiated with 6-MeV electrons at a temperature of 80 K is studied. It is shown that acceptor complexes SnV with a hole ionization enthalpy of 0.16 eV are dominant in irradiated Ge:(Sn, Ga) crystals after their heating to a temperature of 300 K. These complexes disappeared as a result of the annealing of irradiated crystals in the temperature range 30–75°C. Annealing of irradiated crystals at temperatures in the range 110–150°C brings about the formation of deep-level centers with a donor level at E v + 0.29 eV; this center is presumably related to a complex consisting of a tin atom and an interstitial gallium atom.  相似文献   

14.
Electron traps in GaAs grown by MBE at temperatures of 200–300°C (LT-GaAs) were studied. Capacitance deep level transient spectroscopy (DLTS) was used to study the Schottky barrier on n-GaAs, whose space-charge region contained a built-in LT-GaAs layer ∼0.1 μm thick. The size of arsenic clusters formed in LT-GaAs on annealing at 580°C depended on the growth temperature. Two new types of electron traps were found in LT-GaAs layers grown at 200°C and containing As clusters 6–8 nm in diameter. The activation energy of thermal electron emission from these traps was 0.47 and 0.59 eV, and their concentration was ∼1017 cm−3, which is comparable with the concentration of As clusters determined by transmission electron microscopy. In LT-GaAs samples that were grown at 300°C and contained no arsenic clusters, the activation energy of traps was 0.61 eV. The interrelation between these electron levels and the system of As clusters and point defects in LT-GaAs is discussed. __________ Translated from Fizika i Tekhnika Poluprovodnikov, Vol. 38, No. 4, 2004, pp. 401–406. Original Russian Text Copyright ? 2004 by Brunkov, Gutkin, Moiseenko, Musikhin, Chaldyshev, Cherkashin, Konnikov, Preobrazhenskii, Putyato, Semyagin.  相似文献   

15.
The structural and morphological properties of nanoperiodic structures produced by the alternate vacuum evaporation of SiO and ZrO2 followed by annealing at temperatures of 500–1100°C are studied by the transmission electron microscopy of a transverse cross section. Upon annealing at temperatures below 700°C, the layers are amorphous. Upon annealing at 900°C and 1000°C, nanocrystals separated by twinned boundaries or amorphous regions are formed in the ZrO2 layers. The formation of Si nanocrystals in the SiO x layers occurs upon annealing at 1000°C and 1100°C. At 1100°C, because of the reaction between SiO x and ZrO2, spherical Si x Zr y O z -type nanocrystals are formed in place of the ZrO2 layers; the nanocrystal diameters exceed the initial layer thickness. The annealing-induced structural evolution is consistent with the previously considered behavior of the optical and luminescence properties of the system.  相似文献   

16.
The formation of alloyd ohmic contacts on n-InP using sequentially deposited Sn plus Au films was investigated. The specific contact resistance for metallizations with a Sn content of 5 at. % was determined for annealing temperatures between 250 and 500°C. The minimum specific contact resistance, rc = (1.8±0.9) × 10?6 ohm-cm2 occurred for a narrow range of annealing temperatures between 380 and 410°C on substrates with n = 3 × 1018/cm3. For annealing temperatures 350°C the contacts were non-Ohmic and above 420°C the resistance increased dramatically. Contact morphology and metallurgy were studied by optical and scanning electron microscopy, X-ray diffraction, Auger electron spectroscopy and Rutherford backscattering. Films annealed above 320°C contained several phases, mainly Au4In, AuSn and polycrystalline InP. The contacts annealed at temperatures above 410°C were composed predominantly of the single phase Au3In2.  相似文献   

17.
p-Type Bi0.45Sb1.55Te3 thermoelectric (TE) thin films have been prepared at room temperature by a magnetron cosputtering process. The effect of postannealing on the microstructure and TE properties of Bi0.45Sb1.55Te3 films has been investigated in the temperature range from room temperature to 350°C. x-Ray diffraction analysis shows that the annealed films have polycrystalline rhombohedral crystal structure, and the average grain size increases from 36?nm to 64?nm with increasing annealing temperature from room temperature to 350°C. Electron probe microanalysis shows that annealing above 250°C can cause Te reevaporation, which induces porous thin films and dramatically affects electrical transport properties of the thin films. TE properties of the films have been investigated at room temperature. The hole concentration shows a trend from descent to ascent and has a minimum value at the annealing temperature of 200°C, while the Seebeck coefficient shows an opposite trend and a maximum value of 245?μV?K?1. The electrical resistivity monotonically decreases from 19.8?mΩ?cm to 1.4?mΩ?cm with increasing annealing temperature. Correspondingly, a maximum value of power factor, 27.4?μW?K?2?cm?1, was obtained at the annealing temperature of 250°C.  相似文献   

18.
Vertical light-emitting diodes (VLEDs) were successfully transferred from a GaN-based sapphire substrate to a graphite substrate by using low-temperature and cost-effective Ag-In bonding, followed by the removal of the sapphire substrate using a laser lift-off (LLO) technique. One reason for the high thermal stability of the AgIn bonding compounds is that both the bonding metals and Cr/Au n-ohmic contact metal are capable of surviving annealing temperatures in excess of 600 °C. Therefore, the annealing of n-ohmic contact was performed at temperatures of 400 °C and 500 °C for 1 min in ambient air by using the rapid thermal annealing (RTA) process. The performance of the n-ohmic contact metal in VLEDs on a graphite substrate was investigated in this study. As a result, the final fabricated VLEDs (chip size: 1000 µm×1000 µm) demonstrated excellent performance with an average output power of 538.64 mW and a low operating voltage of 3.21 V at 350 mA, which corresponds to an enhancement of 9.3% in the light output power and a reduction of 1.8% in the forward voltage compared to that without any n-ohmic contact treatment. This points to a high level of thermal stability and cost-effective Ag-In bonding, which is promising for application to VLED fabrication.  相似文献   

19.
N+ implantation into p-type a-SiC (6H-SiC, 4H-SiC) epilayers at elevated temperatures was investigated and compared with implantation at room temperature (RT). When the implant dose exceeded 4 × 1015 cm−2, a complete amorphous layer was formed in RT implantation and severe damage remained even after post implantation annealing at 1500°C. By employing hot implantation at 500~800°C, the formation of a complete amorphous layer was suppressed and the residual damage after annealing was significantly reduced. For implant doses higher than 1015 cm−2, the sheet resistance of implanted layers was much reduced by hot implantation. The lowest sheet resistance of 542Ω/ was obtained by implantation at 500 ~ 800°C with a 4 × 1015 cm−2 dose. Characterization of n+-p junctions fabricated by N+ implantation into p-type epilayers was carried out in detail. The net doping concentration in the region close to the junction showed a linearly graded profile. The forward current was clearly divided into two components of diffusion and recombination. A high breakdown voltage of 615 ∼ 810V, that is almost an ideal value, was obtained, even if the implant dose exceeded 1015 cm−2. By employing hot implantation at 800°C, the reverse leakage current was significantly reduced.  相似文献   

20.
Impurity induced disordering of GaInAs quantum well structures with barriers of AlGaInAs and of GaInAsP has been investigated using boron and fluorine. The impurities were introduced by ion implantation followed by thermal annealing. Annealing unimplanted P-based quaternary material at temperatures greater than 500° C caused a blue shift of the exciton peak. At annealing temperatures greater than 650° C red shifts in the exciton peak of unimplanted Al-based quaternary material were observed. Boron implantation caused small blue shifts of the exciton peak in both material systems at low annealing temperatures. Much larger blue shifts were observed in the fluorine implanted samples.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号