首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 10 毫秒
1.
Submicrometer CMOS transistors require shallow junctions to minimize punchthrough and short-channel effects. Salicide technology is a very attractive metallization scheme to solve many CMOS scaling problems. However, to achieve a shallow junction with a salicide structure requires careful optimization for device design tradeoffs. Several proposed techniques to form shallow titanium silicide junctions are critically examined. Boron, BF2, arsenic, and phosphorus dopants were used to study the process parameters for low-leakage TiSi 2 p+/n and n+/p junctions in submicrometer CMOS applications. It is concluded that the dopant drive-out (DDO) from the TiSi2 layer to form a shallow junction scheme is not an efficient method for titanium salicide structure; poor device performance and unacceptably leaky junctions are obtained by this scheme. The conventional post junction salicide (PJS) scheme can produce shallow n+/p and p+/n junctions with junction depths of 0.12 to 0.20 μm below the TiSi2. Deep submicrometer CMOS devices with channel length of 0.40 to 0.45 μm can be fabricated with such junctions  相似文献   

2.
The phase transformation and stability of TiSi2 on n + diffusions are investigated. Narrower n+ diffusions require higher anneal temperatures, or longer anneal times, than wider diffusions for complete transitions from the high-resistivity C49 phase to the low-resistivity C54 phase. A model is presented which explains this in terms of the probability of forming C54 nuclei on narrow diffusions and the influence of diffusion width on C54 grain size. The results are that more C49 and C54 nucleation events are required to completely transform narrow lines. For thin TiSi2 (40 nm), there is a narrow process window for achieving complete transformation without causing agglomeration of the TiSi2. The process window decreases with decreasing silicide thickness. A significantly larger process window is achieved with short-time rapid annealing. Similar studies are performed for CoSi2 on n+ and p+ diffusions. No linewidth dependence is observed for the transformation from CoSix to CoSi2. There is a broad process window from 575°C to 850°C using furnace annealing, for which the low-resistivity phase is obtained without causing agglomeration  相似文献   

3.
Molecular dynamics simulations by a tight binding potential provide new interesting information on the ground state properties of the TiSi2 phases. In particular, we have compared some structural, elastic, thermodynamic and electronic properties of the C49, the C54 and the amorphous phases. It turns out that the C49 structure is much softer than the C54, also displaying a melting temperature some 300–400 K below the one for the C54, in agreement to very recent experimental results. The amorphous phase is energetically and structurally more akin to the C49 than to the C54 phase. On the basis of these results we suggest the higher formation kinetics of the former to be related to an intrinsic advantage in the growth stage.  相似文献   

4.
This work is addressed to investigate thermal stability of a thin TiSi2 film, that is its ability to resist degradation due to heat treatments at high temperatures. The study was carried out as a function of the formation RT treatment (675–750°C) at the end of a common process flow. Sheet resistance measurements were employed in order to evaluate this degradation. Electrical measures were performed on large and narrow poly-Si lines, on Van Der Pauw structures and on doped mono-Si substrates. An increase in sheet resistance value of an order of magnitude for silicide formed at temperatures below 700°C with respect to the one formed at temperatures above 700°C was found, particularly on poly-Si lines. The effect is detectable independently of the structure: it was observed also on 0.75-μm wide poly-Si lines, increasing when line width decreases. Different morphological analyses were carried out for investigating the influence of the formation temperature. We explain the increase of the final sheet resistance decreasing the formation temperature as a lower thermal stability of the TiSi2 film, leading to a thermal grooving of the silicide grains.  相似文献   

5.
The thermal stability of Ti and Ti/Al thin barrier layers for Cu metallizations of surface acoustic wave (SAW) devices has been investigated by resistance measurements and analytical transmission electron microscopy (TEM) using energy dispersive analysis (EDX), energy filtered analysis (EFTEM) within a temperature range between RT and 300 °C. Due to the strong increase of the sheet resistance of the sample containing the Ti/Al-barrier, structural changes in the Al layer lead to a failure at 300 °C, whereas the other sample containing Ti only as a barrier layer did not show any obvious structural changes.  相似文献   

6.
A new failure mode was observed in a 0.5 μm version of the silicided amorphous-silicon contact and interconnect (SAC) technology. Massive PMOS gate to source/drain shorts were found. The cause is attributed to formation of Ti during the Si etch. The fluorinated Ti surface fails to form adequate TiN diffusion barrier during subsequent rapid thermal annealing (RTA) in N2 or NH3 ambient. Si diffuses from the polycrystalline Si gate and/or the p-type source/drain onto the spacer, reacts with Ti and forms resistive leakage paths. A blanket low-dose, low-energy As implant prior to Ti deposition corrects this problem without adversely changing device characteristics  相似文献   

7.
A method for measuring the nonlinear coefficient n2/A eff in telecom fibres at 1550 nm is presented. The method is based on determining the Kerr phase shift detected by a self-aligned interferometer incorporating a Faraday mirror. This makes the setup very robust, and different test fibres can be measured without the need for any further readjustments  相似文献   

8.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

9.
A new technology for forming a titanium-silicide shallow junction by combining germanium implantation with an amorphous-silicon (or a poly-silicon) buffer layer has been proposed for MOSFETs. The use of a buffer layer between Ti and Si can avoid the consumption of bulk-silicon and the recession of TiSi2 film into the source/drain junctions during the silicidation process. In this study, the important role of germanium-implantation on the formation of TiSi2 contacted p+/n junctions was examined. After subsequent implantation of Ge+ and B+ into the TiSi2 film, samples were annealed at different temperatures to form p +/n junctions and C54-TiSi2. Since the penetration of titanium atoms was suppressed due to the germanium-implantation, the periphery leakage and the generation leakage were improved and TiSi2/Si interfaces were even smooth. Therefore, p+/n junctions with a very low leakage current (0.192 nA/cm 2 at -5 V) and an excellent forward ideality factor (n≈1.002) can be obtained. From the secondary ion mass spectrometry (SIMS) analysis, the junction depth is 400  相似文献   

10.
The characteristics of TDDB (time-dependent dielectric breakdown) and SILC (stress-induced leakage current) for an ultra-thin SiO2/HfO2 gate dielectric stack are studied. The EOT (equivalent-oxide-thickness) of the gate stack (Si/SiO2/HfOz/TiN/TiA1/TiN/W) is 0.91 am. The field acceleration factor extracted in TDDB experi- ments is 1.59 s.cm/MV, and the maximum voltage is 1.06 V when the devices operate at 125 ℃ for ten years. A detailed study on the defect generation mechanism induced by SILC is presented to deeply understand the break- down behavior. The trap energy levels can be calculated by the SILC peaks: one S1LC peak is most likely to be caused by the neutral oxygen vacancy in the HfO2 bulk layer at 0.51 eV below the Si conduction band minimum; another SILC peak is induced by the interface traps, which are aligned with the silicon conduction band edge. Fur- thermore, the great difference between the two SILC peaks demonstrates that the degeneration of the high-k layer dominates the breakdown behavior of the extremely thin gate dielectric.  相似文献   

11.
Micro-Raman spectroscopy is used to monitor titanium silicide (TiSi2) formation on narrow undoped polycrystalline silicon lines. Linewidths varying from 1.0 μm down to 0.35 μm, with silicidation by rapid thermal anneal (RTA) temperature ranging between 780°C and 1020°C were analyzed. Phase changes between C49 and C54-TiSi2 phases were clearly observed. Results demonstrate that analysis of the C54-TiSi2 Raman peak intensity allowed fast and nondestructive estimation of the process window for low resistivity C54-TiSi2 formation. Comparison with sheet resistivity measurements showed that micro-Raman scattering provides a complimentary means to electrical analysis for the study of TiSi2 formation  相似文献   

12.
Direct-etched HfO2/TaN nMOS transistors were fabricated. The performance of the transistors with aggressively scaled EOT is comparable or better than that of SiO2/poly transistors. The performance enhancement requires a combination of EOT scaling and an appropriate interface layer control. The performance of the direct-etched TaN gated HfO2 based transistors is also compared to the performance of similar TaN gated SiON based transistors. It is observed that for equal gm the leakage is lower for HfO2 based transistors, despite the lower EOT for the HfO2 based devices.  相似文献   

13.
A technique for forming shallow junctions with low-resistance silicide contacts developed for the use in VLSI with scaled MOSFETs is discussed. The salicide (self-aligned silicide) MOSFET gate and source-drain features self-aligned refractory metal silicide and are isolated from one another even without any insulating spacer on the gate sides. A critical step in such a MOSFET fabrication process is the ion implantation through metal silicidation technique, which includes As+ ion-beam-induced titanium-silicon interface mixing and infrared rapid heat treatment to form simultaneously the n+-p junction and a high-quality TiN covered TiSi2 contact layer  相似文献   

14.
The C49C54 TiSi2 polymorphic transformation has been investigated trying to elucidate the relative role played by nucleation and growth in silicide formation. Samples having an amorphous layer and C54 seeds have been made by heat treatment of a Ti/Si bi-layer structure and subsequent suitable Ar ion implantation. In situ resistance measurements performed during heat treatments in controlled and purified atmosphere at constant heating rates and ex situ X-ray diffraction, MeV 4He+ backscattering spectrometry and cross-section transmission electron microscopy have been used to characterize the samples. The results show that in a sample with C49 the C54 nucleation occurs at 800°C; when C54 seeds are present the growth is appreciable already at temperatures as low as 400–500°C. It has also been shown that nucleation and growth of the C49 phase is a process competitive to the growth of the C54 phase.  相似文献   

15.
The effects of thermal processes after silicidation on the gate depletion, threshold voltage (Vth) shift, drive current, and sheet resistance of TiSi2/polysilicon (Ti-polycide) gate devices are evaluated. The dopant depletion of the polysilicon film, which is known to increase the Vth and to degrade the drive-current, increases with increasing temperature of the post-thermal process. However, the Vth roll-off characteristic in nMOSFETs is enhanced with increasing temperature. Furthermore, the drive-current is significantly degraded by the gate reoxidation process. The sheet resistance of the Ti-polycide gate increases with gate reoxidation as well as with increased post-thermal processes  相似文献   

16.
In this paper, we show that the capacitance–voltage linearity of MIM structures can be enhanced using SrTiO3 (STO)/Y2O3 dielectric bilayers. The C(V) linearity is significantly improved by combining two dielectric materials with opposite permittivity-voltage responses. Three STO/Y2O3 stacks with different thicknesses were realized and compared to a 20 nm STO single layer structure. We observed that an increase in the Y2O3 thickness leads to an improvement in the voltage linearity, while maintaining an overall capacitance density greater than 10 fF/μm2.  相似文献   

17.
Channel waveguides fabricated in LiNbO2 by proton diffusion with a self-aligned SiO2-cladding structure are discussed. Proton diffusion in width and depth directions is amenable to process parameters, so that it provides a simple method for control of mode profiles. Using this method, symmetric depth mode profiles and an aspect ratio of 1.15 at λ=0.6328 μm have been achieved for efficient fiber-to-waveguide coupling  相似文献   

18.
The effect of residual gas constituents and substrate temperature during Ti sputtering on the texture of TiN/Ti films deposited on SiO2/Si substrates has been investigated. The Ti(002) and TiN(111) preferred texture of the films deposited at 350°C was found to be improved drastically by increasing the H2O partial pressure from 1×10−9 to 3×10−8 Torr. Both of the Ti(002) and TiN(111) textures showed a similar H2O partial pressure and substrate temperature dependence because of the epitaxial transfer between these planes. The improved Ti(002) texture was attributed to the self-assembly of Ti atoms on the SiO2 surface, which had a low surface free energy due to the formation of surface OH groups. Two kinds of layered Al-alloy interconnects, AlSiCu/Ti/TiN/Ti and AlCu/TiN/Ti, were fabricated with the highly textured TiN/Ti film, and their Al(111) texture and electromigration lifetime were then evaluated. It was confirmed that both of the interconnects have strong Al(111) texture and longer EM lifetimes.  相似文献   

19.
Cobalt silicide formation is very sensitive to the presence of oxygen. Oxygen contamination may originate from different sources: impurities in the annealing ambient, oxygen incorporated within the deposited Co layer and interfacial oxide at the Co/Si interface. In this work, it is shown that the cause of the sensitivity towards oxygen contamination is the formation of a SiOx diffusion barrier between CoSi and the unreacted Co. This causes an increase in the activation energy for CoSi formation. Furthermore, we will show that a titanium capping layer eliminates the sensitivity of CoSi2 formation for oxygen contamination, thus improving the formation of CoSi2 layers.  相似文献   

20.
袁加勇  陈钰清 《激光技术》1991,15(3):166-171
用输出功率为50W的CW CO2激光照射纯硅烷(SiH4)气体得到了a-Si:H薄膜。沉积速率达到200/min。用电子衍射方法测定了所沉积的薄膜是非晶态的。测量了薄膜的光电导率和暗电导率,其比值达104量级。用紫外可见光谱分析了薄膜的光学性质,计算出光能隙为1.44~2.0eV。得到了沉积速率、光电导率、暗电导率、光学能隙随基片温度变化的关系曲线。阐述了CO2激光化学气相沉积a-Si:H薄膜的机理。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号