首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 62 毫秒
1.
一种高效结构的多输入浮点加法器在FPGA上的实现   总被引:3,自引:1,他引:3  
传统的多输入浮点加法运算是通过级联二输入浮点加法器来实现的,这种结构不可避免地使运算时延和所需逻辑资源成倍增加,从而越来越难以满足需要进行高速数字信号处理的需求。本文提出了一种适合在FPGA上实现的浮点数据格式和可以在四级流水线内完成的一种高效多输入浮点加法器结构,并给出了在Xilinx公司Virtex系列芯片上的测试
试数据。  相似文献   

2.
浮点加法器电路设计算法的研究   总被引:7,自引:0,他引:7  
介绍了浮点加法器电路设计的基本算法,阐述了近年来有关浮点加法器电路设计算法研究的成果。对目前所普遍采用的Two-Path算法及其改进算法进行了详细地分析。描述了快速规格化的关键技术——前导1的预判的基本原理。最后提出了一种进一步改进Two—Path算法的方案。  相似文献   

3.
并行浮点加法器架构与核心算法的研究   总被引:1,自引:0,他引:1  
考虑到浮点运算在图形处理中的重要作用,依据速度和面积的优化原理,文章从两个方面对FAU结构中最复杂的双精度浮点加法进行了研究。其一:在结构上采用了三条相互并行的主线,设计了一种尽可能并行处理的三级浮点流水结构,极大地提高了运算的速度,节约了芯片资源;其二:对结构中制约浮点加法速度的关键运算——尾加和移位操作进行了创新设计与实现,并就设计的先进性和高速性与传统设计进行了参数比较和综合分析。  相似文献   

4.
描述了一个流水线运行的、符合IEEE 75 4单精度浮点标准的加法器的全定制设计。该浮点加法器的设计基于SMIC 1 .8V 0 .1 8μm 1p6mCMOS工艺 ,将应用于高性能 32位CPU的浮点运算单元中。该设计在研究快速实现算法结构的基础上 ,采用全定制的电路及版图设计方法 ,提高了浮点加法器的工作速度 ,降低了芯片功耗 ,并通过减少芯片面积 ,有效降低芯片量产时的成本  相似文献   

5.
浮点加法运算是浮点运算中使用频率最高的一种运算.本文采用了五级加法器流水线结构,并使用Verilog HDL硬件描述语言对其进行编码.利在使用SMIC 0.18um CMOS工艺库进行综合,工作频率能达到500MHz.  相似文献   

6.
快速浮点加法器的FPGA实现   总被引:4,自引:1,他引:4  
讨论了3种常用的浮点加法算法,并在VirtexⅡ系列FPGA上实现了LOP算法。实验结果表明在FPGA上可以实现快速浮点加法器,最高速度可达152MHz,资源占用也在合理的范围内。  相似文献   

7.
高效结构的多输入浮点乘法器在FPGA上的实现   总被引:1,自引:0,他引:1  
传统的多输入浮点乘法运算是通过级联二输入浮点乘法器来实现的,这种结构不可避免地使运算时延和所需逻辑资源成倍增加,从而难以满足高速数字信号处理的需求。本文提出了一种适合于在FPGA上实现的浮点数据格式和可以在三级流水线内完成的一种高效的多输入浮点乘法器结构,并给出了在Xilinx公司Virtex系列芯片上的测试数据。  相似文献   

8.
快速浮点加法器设计研究   总被引:2,自引:2,他引:2  
浮点加法器处于浮点处理器的关键路径,为提高浮点加法器的速度,对浮点加法器的关键部分进行了研究:采用了预测执行,并行运算技术。引用混合加法器,前导“1”检测采用快速的LOPV电路实现,混合加法器由输出选择电路对“ lulp”操作进行合并,提高了运算速度,这些技术在双精度FPU和24位浮点DSP中应用得到了理想的效果。  相似文献   

9.
高吞吐率浮点FFT处理器的FPGA实现研究   总被引:3,自引:0,他引:3       下载免费PDF全文
受浮点操作的长流水线延迟及FPGA片上RAM端口数目的限制,传统H可处理器的吞吐率通常只能达到每周期输出一个复数结果。本文用FPGA设计并实现了一种高吞吐率的IEEE754标准单精度浮点FFT处理器,通过改进蝶形计算单元的结构并重新组织FPGA片上RAM的访问,该处理器每周期平均可输出约两个复数计算结果,吞吐率约为传统FFT处理器吞吐率的两倍。对于1024点FFT变换,可在(512+10)*10=5220周期内完成。  相似文献   

10.
本文介绍了用原理图输入方法设计一款图象处理ASIC芯片中乘加单元的核心运算部件——32位超前进位加法器,出于速度(时延)和面积折衷优化考虑,它以四位超前进位加法器和四位超前进位产生器为基本设计单元级联而成,因此该电路具有速度和面积的折衷优势。选择原理图输入方法,是考虑到本电路复杂度不高,而原理图输入可控性好,效率高,可靠性强且直观,可以熟悉较底层的结构。文章先给出电路的设计实现,并且是先设计四位超前进位加法器,再提出32位超前进位加法器的设计思想和设计原理,然后再通过测试文件的逻辑验证正确。本设计的所有内容,都将在SUN工作站上Cadence工具Schematic Composer中完成。  相似文献   

11.
本文我们描述了一个符号IEEE954单精度浮点标准的加法器。这个浮点加法器的设计基于TSMC2.5V 0.25um CMOS工艺,它将用于200MHz的专用DSP处理器,为了在高速运算的同时降低功耗,本文在采用了并行运算提高速度的同时,通过控制逻辑模块关闭不必要的运算模块的操作来减少整个电路功耗,另外,在电路设计中大量使用传输管逻辑,提高速度并降低整个电路的面积和功耗,加法器的运算时间是3.986ns。  相似文献   

12.
标志前缀加法器的结构优化设计   总被引:1,自引:1,他引:0       下载免费PDF全文
许团辉  王玉艳  章建雄 《计算机工程》2010,36(13):286-287,290
标志前缀加法器运算速度快但存在面积大的缺点。为满足实际应用中对浮点乘加单元面积的要求,对其进行结构优化得到基于Kogge-stone树结构的51位标志前缀加法器,采用模块级联减少运算单元个数,达到减小浮点乘加单元面积、降低功耗的目的。在TMSC 0.18 μm工艺下,该51位加法器的面积、总功耗、关键路径时延分别减少了10%, 10.5%, 6.4%。  相似文献   

13.
本文讨论了通用微处理器标准浮点运算正确性检测方法,对测试数据的生成、检测策略、比较方法等作了深入的研究,并介绍了测试程序流程。  相似文献   

14.
席伟俤  李伟刚 《测控技术》2017,36(11):115-118
航空发动机FADEC系统控制软件的计算精度和运行效率是一对不可缺少的特性.为提高航空发动机FADEC系统控制软件的浮点计算的计算精度和运行效率,从IEEE 754浮点数格式、浮点数的表示形式、浮点数四则运算的精度方面展开分析,并结合FADEC系统控制软件项目实际应用案例的数据结果,验证了精度分析结果的正确性,并以此为基础针对FADEC系统控制软件的浮点算法设计提出了设计准则,有助于提高控制软件的可靠性和安全性,可推广至其他行业的控制领域应用.  相似文献   

15.
一种快速SIMD浮点乘加器的设计与实现   总被引:2,自引:0,他引:2  
本文设计和实现了5级全流水SIMD浮点乘加器,支持双精度和双单精度浮点乘法、乘累加(减)操作,用Modelsim和NC Verilog测试和验证了RTL代码实现,基于65nm工艺采用Synopsys公司的Design Complier工具综合硬件实现,运行频率可达714.286MHz。结果表明,相比文献[3]中经典的低延迟乘加结构,在相同综合条件下性能提升了17.89%,面积增加了6.61%,功耗降低了25.08%。  相似文献   

16.
本文介绍了在某微处理器研制中设计的一种地址生成单元的加法电路。为提高地址转换速度,其进位电路中采用了动态门和多米诺逻辑。结果表明,在1.8v、0.18μm工艺下进行电路模拟,进行一次加法进位传递的时间为466ps。  相似文献   

17.
简述了基于浮,DSP的动态无功补偿器,快速准确检测和计算出电网中的各项参数,采用以固态继电器为电容组的切投开关,实现对三相变化负荷的快速跟踪和响应,有效地提高了抽油机供电系统的电能质量。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号