首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Ceria-based high selectivity slurry (HSS), which shows high polishing selectivity of silicon oxide to silicon nitride, was applied to the shallow trench isolation (STI) chemical mechanical planarization (CMP) process for giga-bit scale memory fabrication. While the wafer-to-wafer non-uniformity (WTWNU) and within-wafer non-uniformity (WIWNU) are superior to conventional silica-based slurry, the level of slurry induced scratches is too high for the ceria-based slurry to be used in present CMP processes. By optimizing the CMP process and filtering method, however, the number and depth of these scratches were reduced considerably to the level where the yield of gate oxide was sufficient to meet the requirement of manufacturing. In this paper, the authors discussed the possible causes of scratches when using ceria-based slurry and how these scratches affect to lower the breakdown yield of gate oxides. In addition, the authors investigated the relationship between within wafer non-uniformity and cell threshold voltage (Vt) variation and probe test 1 (PT1) yield variation.  相似文献   

2.
This work investigates the static corrosion and removal rates of copper as functions of H202 and FA/OIIconcentration, and uses DC electrochemical measurements such as open circuit potential (OCP), Tafel ana- lysis, as well as cyclic voltammetry (CV) to study HaOa and FA/OIIdependent surface reactions of Cu coupon electrode in alkaline slurry without an inhibitor. An atomic force microscopy (AFM) technique is also used to measure the surface roughness and surface morphology of copper in static corrosion and polishing conditions. It is shown that 0.5 vol.% H202 should be the primary choice to achieve high material removal rate. The electro- chemical results reveal that the addition of FA/O II can dissolve partial oxide film to accelerate the electrochemical anodic reactions and make the oxide layer porous, so that the structurally weak oxide film can be easily removed by mechanical abrasion. The variation of surface roughness and morphology of copper under static conditions is consistent with and provides further support for the reaction mechanisms proposed in the context of DC electro- chemical measurements. In addition, in the presence of H202, 3 vol.% FA/O II may be significantly effective from a surface roughness perspective to obtain a relatively flat copper surface in chemical mechanical planarization (CMP) process.  相似文献   

3.
蒋勐婷  刘玉岭 《半导体学报》2014,35(12):126001-5
Chemical mechanical planarization(CMP) is a critical process in deep sub-micron integrated circuit manufacturing. This study aims to improve the planarization capability of slurry, while minimizing the mechanical action of the pressure and silica abrasive. Through conducting a series of single-factor experiments, the appropriate pressure and the optimum abrasive concentration for the alkaline slurry were confirmed. However, the reduced mechanical action may bring about a decline of the polishing rate, and further resulting in the decrease of throughput.Therefore, we take an approach to compensating for the loss of mechanical action by optimizing the composition of the slurry to enhance the chemical action in the CMP process. So 0.5 wt% abrasive concentration of alkaline slurry for copper polishing was developed, it can achieve planarization efficiently and obtain a wafer surface with no corrosion defect at a reduced pressure of 1.0 psi. The results presented here will contribute to the development of a “softer gentler polishing” technique in the future.  相似文献   

4.
Abstract: The stability of a novel low-pH alkaline slurry (marked as slurry A, pH = 8.5) for copper chemical mechanical planarization was investigated in this paper. First of all, the stability mechanism of the alkaline slurry was studied. Then many parameters have been tested for researching the stability of the slurry through comparing with a traditional alkaline slurry (marked as slurry B, pH = 9.5), such as the pH value, particle size and zeta potential. Apart from this, the stability of the copper removal rate, dishing, erosion and surface roughness were also studied. All the results show that the stability of the novel low-pH alkaline slurry is better than the traditional alkaline slurry. The working-life of the novel low-pH alkaline slurry reaches 48 h.  相似文献   

5.
As the feature size of integrated circuits is driven to smaller dimensions the importance of the inter- and intralayer isolator capacitance in future metallization schemes becomes more pronounced. Organic polymers with low dielectric constants are one class of material choice for the replacement of SiO2. However, their successful integration into functional circuits requires new fabrication procedures. The embedded dielectric scheme offers an evolutionary path for their successful integration into a subtractive etched, aluminum-based integrated circuit. This scheme can effectively lower the total capacitance while minimally changing the rest of the metallization fabrication process. However, the non-conformal deposition of spin-on polymers requires an effective planarization process. Therefore, this paper focuses on the planarization capability of a chemical mechanical polishing process (CMP) using SiLK resin as the interlayer dielectric material. The experimental results demonstrate the high planarization capability of the CMP process using a commercially available slurry. The post-CMP degree of planarization is greater than 95% for all feature dimensions and this planarity can be achieved rapidly. SiLK dielectric coatings are therefore considered as a promising candidate to replace SiO2 in existing Al/W-based technologies.  相似文献   

6.
The key component of ferroelectric random access memory (FeRAM) is a capacitor including a ferroelectric thin film and electrode materials. Platinum is one of the suitable metals which meet requirements such as low resistivity, high thermal stability, and good oxygen resistance. Generally, the ferroelectric and the electrode materials were patterned by a plasma etching process. The application possibility of chemical mechanical polishing (CMP) processes to the patterning of ferroelectric thin film instead of plasma etching was investigated in our previous study for improvement of an angled sidewall which prevents the densification of FeRAM. In this study, the characteristics of platinum CMP for FeRAM applications were also investigated by an approach as bottom electrode materials of ferroelectric material in CMP patterning. The removal rate was increased from 24.81 nm/min by the only alumina slurry (0.0 wt% of H2O2 oxidizer) to 113.59 nm/min at 10.0 wt% of H2O2 oxidizer. Electrochemical study of platinum and alumina slurry with various concentrations of H2O2 was performed in order to investigate the change of the removal rate. The decreased particle size in the alumina slurry with an addition of 10.0 wt% H2O2 oxidizer made the improved surface roughness of the platinum thin films. Micro-scratches were observed in all polished samples.  相似文献   

7.
We have studied the chemical mechanical polishing (CMP) characteristics of mixed abrasive slurry (MAS) retreated by adding of zirconium oxide (ZrO2) abrasives within 1:10 diluted silica slurry. These mixed abrasives in the MAS are evaluated with respect to their particle size distribution, surface morphology, and CMP performance such as removal rate and non-uniformity. As an experimental result, the comparable slurry characteristics when compared to the original silica slurry were obtained from the viewpoint of high removal rate and low non-uniformity for excellent CMP performance. Therefore, our proposed ZrO2-MAS can be useful to save on the high cost of slurry consumption since we used a 1:10 diluted silica slurry.  相似文献   

8.
In this paper, surface morphology and optical properties are investigated to find the optimum microstructure of zinc oxide (ZnO) thin films deposited by radio frequency (RF) magnetron sputtering. To achieve a high transmittance and a low resistivity, we examined various film deposition conditions. The transmittance and surface morphology of ZnO thin films were measured by an ultraviolet (UV)-visible spectrometer and atomic force microscopy (AFM), respectively. In order to improve the surface quality of ZnO thin films, we performed chemical mechanical polishing (CMP) by change of process parameters, and compared the optical properties of polished ZnO thin films. As an experimental result, we were able to obtain good uniformity and improved transmittance efficiency by the CMP technique.  相似文献   

9.
Many researchers studying copper chemical mechanical planarization (CMP) have been focused on mechanisms of copper removal using various chemicals. On the basis of these previous works, we studied the effect of slurry components on uniformity. Chemical mechanical planarization of copper was performed using citric acid (C6H8O7), hydrogen peroxide (H2O2), colloidal silica, and benzotriazole (BTA, C6H4N3H) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. As citric acid was added to copper CMP slurry (pH 4) containing 3 vol% hydrogen peroxide and 3 wt% colloidal silica, the material removal (MRR) at the wafer center was higher than its edge. Hydrogen peroxide could not induce a remarkable change in the profile of MRR. Colloidal silica, used as an abrasive in copper CMP slurry containing 0.01 M of citric acid and 3 vol% of hydrogen peroxide, controlled the profile of MRR by abrading the wafer edge. BTA as a corrosion inhibitor decreased the MRR and seems to control the material removal around the wafer center. All the results of in this study showed that the MRR profile of copper CMP could be controlled by the contents of slurry components.  相似文献   

10.
In chemical mechanical polishing (CMP) of Cu, organic acids are often used as additives of slurries. This paper studied the effects of citric acid, oxalic acid, glycolic acid and glycine on Cu CMP performance. Our experiments explored the difference of these organic acids in surface reactions with Cu. The results showed that organic acids could chelate the passive film of Cu, and oxalic acid would further form precipitates with copper ions to change the chemical and mechanical action during CMP. Potential-pH diagrams, electrochemical polarization and impedance analyses were used to examine the behaviors of Cu in various organic acid slurries. The results indicated that the proposed equivalent circuits from impedance analysis for Cu CMP system could provide a good index to surface roughness. Furthermore, we also discussed the effects of used organic acids on reducing particle contamination after Cu CMP by measuring the difference of isoelectric points between Cu and α-Al2O3. The result showed that the addition of organic acid could efficiently decrease particle contamination.  相似文献   

11.
The kinematics of conventional, rotary chemical mechanical planarization (CMP) was analyzed, and its effect on polishing results was assessed. The authors define a novel parameter, ζ, as a “kinematic number,” which includes the effects of wafer size, distance between rotation centers, and rotation ratio between wafer and pad. The analysis result suggests that velocity distribution, direction of friction force, uniformity of velocity distribution, distribution of sliding distance, and uniformity of sliding-distance distribution could be consistently expressed in terms of the kinematic number ζ. These results become more important as the wafer size increases and the requirement of within-wafer nonuniformity is more stringent.  相似文献   

12.
化学机械抛光浆料研究进展   总被引:3,自引:1,他引:3  
化学机械抛光(CMP)作为目前唯一可以实现全面平坦化的工艺技术,已被越来越广泛地应用到集成电路芯片、计算机硬磁盘和光学玻璃等表面的超精密抛光.介绍了CMP技术的发展背景,以及目前国内外抛光浆料的研究现状,并根据CMP浆料磨料的性质,将其分为单磨料、混合磨料和复合磨料浆料,对每一种浆料做了总体描述.详细介绍了近年来发展的复合磨料制备技术及其在CMP中的应用,并展望了CMP技术的发展前景以及新型抛光浆料的开发方向.  相似文献   

13.
In this paper, we summarize the development of a numerical model for the chemical mechanical planarization (CMP) process and experimentally investigate the effects of pad conditioning on slurry transport and mixing. A simplified two-dimensional numerical model of slurry flow beneath a stationary wafer was developed to determine the pressure and shear stress beneath a wafer. The initial results indicate that in the hydrodynamic regime a positive upward pressure is exerted on the wafer. We also examined three cases to study pad effects on slurry transport; polishing with an Embossed Politex pad, an unconditioned IC1000 pad, and a conditioned IC1000 pad. Cab-O-Sperse SC1 slurry was used in a 1:1.5 dilution with water. Mixing data show that conditioning has a negligible effect on the rate of slurry entrainment and mixing; however, conditioning has a large effect on the thickness of the slurry layer between the wafer and pad. Conditioning was found to increase the slurry thickness by a factor of two. In addition the gradients in slurry age beneath the wafer were compared among the three cases. The IC1000 pads supported a gradient in the inner third of the wafer only, while the Embossed Politex pad showed a linear gradient across the wafer implying it retains pockets of unmixed slurry in the embossed topography.  相似文献   

14.
In this study, the optimum process parameters and the influences of their process parameters were investigated for indium tin oxide-chemical mechanical polishing (ITO-CMP) with the sufficient removal rate and the good planarity. And then, the optical property such as transmittance and absorption efficiency, and the electrical characteristics such as sheet resistance, carrier density and Hall mobility were discussed in order to evaluate the possibility of CMP application for the organic light emitting display (OLED) device using an ITO film. Light transmission efficiency and current-voltage characteristics of ITO thin film were improved after CMP process using optimized process parameters compared to that of as-deposited thin film before CMP process.  相似文献   

15.
化学机械平坦化(CMP)是铜互连制备过程中唯一的全局平坦化技术。但是由于互连线铜与扩散阻挡层物理及化学性质上的差异,在阻挡层的化学机械平坦化过程中将加剧导致碟形坑的产生。目前,国际上抛光液以酸性为主,但是其存在固有的问题,如酸性气体挥发,腐蚀严重等。本论文研发出一种新型碱性阻挡层抛光液,与商用的阻挡层抛光液做对比,评估了其抛光性能。实验结果表明,新型碱性阻挡层抛光液抛光后表面状态好,粗糙度较低。另外,碟形坑及电阻测试结果表明,新型碱性阻挡层抛光后铜布线的表面形貌好,碟形坑小,能够应用于铜布线阻挡层的CMP中。  相似文献   

16.
碱性Cu化学机械抛光液性能研究   总被引:1,自引:0,他引:1  
在分析碱性Cu化学机械抛光液作用机理的基础上,考察了抛光液对铜晶圆电化学、表面形态、化学机械抛光去除速率等性能。结果发现:选用新研制的络合剂R(NH2)n,将Cu的氧化物、氢氧化物转化为可溶性络合Cu,实现了碱性抛光液中Cu的去除。同时发现,随着碱性抛光液质量分数的增加,淀积Cu层不仅易被腐蚀,腐蚀速率也有所增加,并且当抛光液质量分数达到63.7%(Cu3),会对Cu的腐蚀起到抑制作用。抛光后表面形态分析说明此碱性化学机械抛光液能有效改善晶圆表面粗糙度,且对Cu层平均去除速率是酸性商用抛光液的4~5倍。  相似文献   

17.
The replacement metal gate(RMG) defectivity performance control is very challenging in high-k metal gate(HKMG) chemical mechanical polishing(CMP). In this study, three major defect types, including fall-on particles, micro-scratch and corrosion have been investigated. The research studied the effects of polishing pad,pressure, rotating speed, flow rate and post-CMP cleaning on the three kinds of defect, which finally eliminated the defects and achieved good surface morphology. This study will provide an important reference value for the future research of aluminum metal gate CMP.  相似文献   

18.
氮化镓(GaN)因具有耐酸碱、硬度大等特点使其难以进行精密加工。因此,高效实现GaN的化学机械抛光(CMP)成为了一个技术难题。CMP过程中,抛光液的组分及其性质对抛光效果起决定性的作用。对近年来应用于GaN CMP抛光液中的磨料、氧化剂、表面活性剂、光催化剂等重要组分的抛光效果及作用机理进行了回顾。主要可以归纳为磨料逐渐从单一磨料向复合磨料方向发展,阴离子表面活性剂较其他活性剂效果更好;同时,发现主流的GaN CMP过程为先氧化再去除,因此氧化剂和光催化剂逐渐成为了研究热点。最后对GaN CMP的未来研究方向进行了展望。  相似文献   

19.
陈蕊  康劲  刘玉岭  王辰伟  蔡婷  李新 《半导体学报》2014,35(2):026005-4
This study reports a new weakly alkaline slurry for copper chemical mechanical planarization (CMP), it can achieve a high planarization efficiency at a reduced down pressure of 1.0 psi. The slurry is studied through the polish rate, planarization, copper surface roughness and stability. The copper polishing experiment result shows that the polish rate can reach 10032 A/rain. From the multi-layers copper CMP test, a good result is obtained, that is a big step height (10870 A) that can be eliminated in just 35 s, and the copper root mean square surface roughness (sq) is very low (〈 1 rim). Apart from this, compared with the alkaline slurry researched before, it has a good progress on stability of copper polishing rate, stable for 12 h at least. All the results presented here are relevant for further developments in the area of copper CMP.  相似文献   

20.
Chemical mechanical polishing (CMP) has been widely accepted for the metallization of copper interconnection in ultra-large scale integrated circuits (ULSIs) manufacturing. It is important to understand the effect of the process variables such as turntable speed, head speed, down force and back pressure on copper CMP. They are very important parameters that must be carefully formulated to achieve desired the removal rates and non-uniformity. Using a design of experiment (DOE) approach, this study was performed investigating the interaction effect between the various parameters as well as the main effect of the each parameter during copper CMP. A better understanding of the interaction behavior between the various parameters and the effect on removal rate, non-uniformity and ETC (edge to center) is achieved by using the statistical analysis techniques. In the experimental tests, the optimized parameters combination for copper CMP which were derived from the statistical analysis could be found for higher removal rate and lower non-uniformity through the above DOE results.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号