首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 93 毫秒
1.
基于FPGA的数字逻辑器件开发及优化设计   总被引:4,自引:1,他引:4  
戴泰初  阙沛文 《微电子学》2002,32(5):397-400
介绍了基于现场可编程门阵列(FPGA)的数字逻辑器件开发及其电子设计自动化方法,详细讨论了在MAX plus Ⅱ环境下有效地提高开发数字逻辑电路效率的优化设计方法.  相似文献   

2.
随着IC设计技术的发展,IP已经成为SOC设计的关键技术,利用已有IP可大大提高SOPC设计的效率和能力。UART是广泛使用的串行数据通信电路,一般说来,该接口由硬件(UART专用芯片)实现。采用VerilogHDL语言,结合有限状态机的设计方法来实现UART的IP核,将其核心功能集成到FPGA上,使整体设计紧凑、小巧,实现的UART功能稳定、可靠。  相似文献   

3.
基于FPGA的LED屏控制器设计   总被引:1,自引:0,他引:1       下载免费PDF全文
通过对大型户外全彩LED显示屏的研究,基于FPGA设计了一种LED显示屏的控制系统。该系统主要工作基于Altera公司提供的DE1开发板上进行设计,在Quartus II的软件开发环境下,采用层次化设计,用Verilog HDL语言建立分频时钟模块、数据采集和重组模块、扫描驱动模块,最后连接成一个整体的系统模块,进行仿真和调试,完成FPGA控制系统的设计。通过SPI通信协议发送数据,完成了64×64的LED屏的图形显示,从而验证了LED大屏幕的设计方法。本方案实现的显示控制系统方法,满足目前LED大屏幕区域显示和高速处理图像数据的要求,具有稳定性高、设计灵活等特点。  相似文献   

4.
ISE是Xilinx公司最新推出的业界功能极为强大的可编程逻辑器件开发工具,本文通过一个设计实现计数器的实例,细致地介绍了ISE的设计流程和实现方案。  相似文献   

5.
本文介绍了半导体分立器件参数脉冲测试的必要性,有关标准对脉冲测试的要求及实现的方法.  相似文献   

6.
IIR数字滤波器的FPGA实现   总被引:1,自引:0,他引:1  
本文介绍了IIR滤波器的FPGA实现方法,给出了IIR数字滤波器的时序控制、延时、补码乘法和累加四个模块的设计方法,并用VHDL和FPGA器件实现了IIR数字滤波。结果表明,这种实现方法扩展性好,灵活性强,速度快,专用资源少,在工程实际中有较好的应用前景。  相似文献   

7.
庞文凤 《电声技术》2005,(11):36-39
以可编程器件XC2S200E为核心器件设计了一个数字功率放大器。设计中通过FPGA实现对音频信号的采样控制,并将采样的数字信号转换为脉宽调制(PWM)信号,然后驱动H桥电路,实现功率放大。设计采用先进的FPGA芯片和可靠的同步设计,具有很好的灵活性和稳定性。  相似文献   

8.
步进电机控制器的FPGA实现   总被引:2,自引:1,他引:2  
介绍基于FPGA的步进电机控制器的设计,在分析步进电机的工作原理的基础上,给出了层次化设计方案与VHDL程序,并利用Quartus Ⅱ进行了仿真并给出了仿真结果.它以FPGA作为核心器件,极大地减少了外围元件的使用.同时,采用VHDL语言控制可以根据步进电机的不同,改变模块程序的参数就可以实现不同型号步进电机的控制,有利于步进电机的广泛应用.  相似文献   

9.
针对当前嵌入式系统的特点,设计了一个基于IP库的可编程器件辅助设计软件。这个辅助软件不仅可以对已设计的IP模块进行管理,而且还能根据系统设计规范的要求自行生成相应的HDL程序,提高了设计效率,并可实现设计的重复利用。  相似文献   

10.
王心水 《电子技术》2011,38(4):54-56
本文设计的基于FPGA的电子密码锁,具有记忆和修改6位密码、输入密码位数指示及防止多次试探密码等功能,与银行卡的原理和功能极其相似,使得密码锁的保密和安全性能进一步增强.最后,给出了在Quartus Ⅱ软件开发平台上实现密码锁各项功能的仿真图,并在FPGA芯片EP1K30TC144-3上通过了验证.  相似文献   

11.
光通信技术的蓬勃发展对调制解调技术提出了更高的要求,脉冲位置调制(PPM)有较高的平均功率利用率,传输速率以及较强的抗干扰能力,能够很好地满足实际需求。从脉冲位置调制的基本原理出发,基于FPGA对PPM调制解调系统进行设计,特别是对PPM的帧同步进行详细说明,并用Verilog HDL语言对系统进行时序仿真,验证了设计的正确性。  相似文献   

12.
基于FPGA的RS编码器的设计与实现   总被引:2,自引:0,他引:2  
RS码是线性分组码中一种典型的纠错码,既能纠正随机错误,也能纠正突发错误.在现代通信领域越来越受到重视.文中介绍基于FleA使用Verilog-HDL语言的RS(15,9)编码器的设计方法,并在QuartusII 5.0软件环境下进行了功能仿真,仿真结果与理论分析相一致,该设计方法对实现任意长度的RS编码有重要参考价值.  相似文献   

13.
在详细分析DES的基本原理和应用模式的基础上,结合DES硬件实现的特点,采用正向设计的方法实现了适用于IC卡等有嵌入式安全需要的系统中的DES模块。并对该模块进行了FPGA的原型验证。  相似文献   

14.
详细介绍了基于FPGA的视频监控系统设计。该系统具有高精度、高速和高效等优点,设计灵活。用Verilog HDL语言来实现各个模块的编写,使硬件设计更简单。该系统通过OV9650摄像头获取图像数据,经过FPGA采集、缓存、数据变换,最终在VGA显示中显示。  相似文献   

15.
在Verilog HDL设计的行、场扫描时序基础上,设计并实现了基于FPGA的TFT-LCD控制器。该控制器在修改行、场扫描时序后可以驱动不同分辨率的TFT-LCD,具有很好的移植性。  相似文献   

16.
利用FPGA作为主控制器设计数据采集系统,与传统的采集系统利用单片机或DSP相比有着较为明显的优势.利用FPGA丰富的I/O资源、并行处理能力和用户可定义的逻辑优势,利用片外存储器进行数据暂时缓存,通过USB接口芯片与PC机通信,完成对数据采集的全过程.  相似文献   

17.
裴军  胡正群  胡超 《通信技术》2010,43(5):50-52,55
非相干的包络同步码跟踪环不依赖载波跟踪的相位特性,可以解决在信噪比非常低的条件下的本地扩频码和接收扩频码的码同步,进而完成扩频码的稳定跟踪。根据非相干的包络码跟踪环的原理,利用Verilog设计了一个完整的非相干的包络码跟踪环的电路。在设计过程中利用IP核中的乘法器、IIR滤波器、DDS数字频率合成器,简化设计难度并快速形成设计模块。在采用XilinxISE实现上述关键部分电路的设计基础上,同时利用SynplifyPro对设计模块进行了综合,并在Modelsim6.0中对电路进行了功能波形仿真,证明了设计的可行与合理性。这种解决方案相对常规方法既具有软件验证的灵活性,又具有硬件的执行效率。  相似文献   

18.
ISP1362是飞利浦推出的一款USB可编程控制芯片,其内部集成了一个高级主控制器,一个外设控制器,主机和设备控制器兼容USB2.0协议,支持12Mb/s的全速传输和1.5Mb/s的低速传输;芯片有三种工作模式,即主机控制器模式、设备控制器模式和OTG模式。主要针对USB控制芯片ISP1362在基于FPGA的红外成像系统的数据采集中的应用,利用VerilogHDL语言完成其在设备控制器模式下的功能,具有很好的可移植性。  相似文献   

19.
为了使交通信号灯系统针对车流量变化做出有效应对,设计了一种智能交通信号灯控制系统。该系统结合道路传感器反馈的车流信息,采用有限状态机实现了交通信号灯全感应自适应控制方案,得到最优信号灯转化和时间分配。该系统采用FPGA设计,结合成都科华北路复杂交通路口车辆统计信息,对该系统进行仿真和验证。结果表明,该系统能减少17.550%的车辆平均延误时间,保障交通顺畅,提高了效率。  相似文献   

20.
葛澎  王堃 《现代电子技术》2011,34(15):161-163
提出一种基于FPGA技术的多路模拟量、数字量采集与处理系统的设计方案,分析整个系统的结构,并讨论FPGA内部硬件资源的划分和软件的设计方案等。本设计方案外部电路结构简单可靠,特别适用于多路检测系统中,而且可以根据需要容易地对系统进行扩展,对于检测系统来讲具有一定的通用性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号