首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 296 毫秒
1.
随着LSI(大规模集成电路)规模的增大和速度的提高,采用EDA(电子设计自动化)工具的自上而下的设计方式日益重要.今天,如果说没有EDA工具就无法设计LSI,也决不是过甚其词.下面,以逻辑LSI的设计为例,介绍目前常用的EDA具.大部分LSI,都是由若干形成单元的电路(宏单元)组合而成.宏单元的电路规模各不相同,既有相当于一个逻辑门电路的小电路,也有完整的中央处理器(微处理器)之类的大规模电路.LSI的设计过程,可以分作几个阶段.在下文中,共分作五个阶段.其中的四个阶段,属于LSI整体设计过程,即系统设计、功能与逻辑设计、布局设计及测试设计;第五个阶段,是宏单元本  相似文献   

2.
EDA行业又一次进入动荡时期,其背景是可以将整个系统收容于一枚硅片中的片上系统问世。设计如此复杂的芯片,需要使用同以往截然不同的EDA工具。在EDA行业中,新技术往往诞生于新建立的小企业里;只有少数的企业有能力设计复杂的片上系统;尖端工具的客户数量极少;EDA供应商的经营模式必须重新建立。  相似文献   

3.
rote199SE是集所有设计工具于一体的电子设计自动化(EDA)软件,不仅可以实现电路原理图及PCB板的制作,而且为用户提供了功能强大、使用方便的电路仿真器,可对所设计的电路原理图进行模拟、分析和验证,即时地反映所设计电路的性能。本文阐述了Prote199SE电路仿真器的主要特点和电路仿真的一般步骤,并以简单的整流稳压电路为例介绍了模拟电路仿真分析的具体方法,并重点提出了进行仿真时电路原理图绘制的注意事项。  相似文献   

4.
李文卿 《无线电》2011,(4):97-99
软件介绍 Multisim是美国国家仪器有限公司(NI)推出的一款以SPICE仿真器为核心的电子电路仿真工具。它以操作简单、功能强大的优势被广泛用于电路EDA设计中。现在高校《模拟电子技术基础》课程中的部分电路也使用了Murdsim做验证实验。所以,无论是学习还是在计算机辅助设计方面,Muitisim都有非常出色的表现。  相似文献   

5.
验证在整个设计流程中一直扮演着重要的角色。高效的验证对于提高产品的质量和缩短设计周期具有重要的意义。但是随着IC设计规模和复杂度的不断增加,如何保证验证的高覆盖率和减少验证的时间,已经成为IC设计的瓶颈。为此需要新的设计方法和EDA软件的支持。在这样一种背景下形式化验证技术显示出了许多优点。本文针对在使用新思公司的Formality工具进行rtl-gate的等价性验证中所遇到的一些问题给出一些解决方案,通过正确的使用这些方法减小了设计者在非设计问题上花费的时间,从而可以使设计者更快地发现设计上的问题。  相似文献   

6.
随着集成电路设计水平和工艺技术的提高,集成电路规模越来越大,芯片设计规模和设计复杂度也急剧提高,工艺流程呈现专业化,EDA设计逐步发展和完善。到了九十年代出现了SoC芯片(系统级芯片),即可以在一个芯片上包括了CPU、DSP、逻辑电路、模拟电路、射频电路、存储器和其它电路模块以及嵌入软件等,并相互连接构成完整的系统。由于系统设计日益复杂,设计业出现了专门从事开发各种实现不同功能的IP核的专业公司,  相似文献   

7.
System LSI是鼓噪已久,可是真正启动是最近的事情。由于低价位PC机的出现,DRAM器件下滑,半导体厂家销售业绩恶化。为了生存和发展,半导体厂家把面向信息家电产品的System LSI视为起死回生的良药。况且,利用IP(Intellectual Property)Core已有资源,重新组合设计出System LSI电路是轻而易举的事情。这未免过于想当然,实际上重新组合电路功能块形成System LSI并不一定能正常工作,用于验证设计正确性的工作量高出设计工作量的2~4倍。而且,利用IP Core还涉及到知识产权等敏感问题。 由于当前急需解决System LSI设计验证课题,却给一直受到冷落的EDA(Electronic Design Automation)业  相似文献   

8.
以Calibre工具在EDA供应链中居领导地位的明导国际(Mentor Graphics),进一步强化产品阵容,日前发表新产品InRoute,整合从P&R一直到Sign-off的实体验证解决方案,并分享明导PERC(Programmable Electrical Rule Checking)在ESD验证时确保电路的完整性. 随着IC布局尺寸越来越小,因制造限制所引起的理想线条宽度、厚度和形状变异已对IC的良率和效能带来严重影响.为解决这些问题,设计规则变得更多也更复杂,同时,还需要用DFM模式做更多的分析.  相似文献   

9.
用标准计数器设计4位数字频率计使用器件多,电路复杂,CPLD(复杂可编程逻辑器件)和EDA(电子设计自动化)技术已经代替传统的设计方法,逐渐成为电子系统设计者的主要设计手段。文中采用自顶向下的方法在一片CPLD芯片上实现4位简易数字频率计。详细给出了CPLD内部基于MAX+PLUSⅡ开发软件,利用VHDL硬件描述语言和原理图的输入方式来实现电路的具体过程,以及外围被测信号限幅整形电路和时基信号产生电路原理图,电路简单且便于修改,经实际电路测试,该系统性能稳定,EDA技术使数字系统的设计进入一个更新、更快的发展阶段。  相似文献   

10.
近几年来,随着半导体技术的进步、LSI高集成化的发展,越来越要求采用在一个芯片上集成多个系统的系统LSI。今年开始批量生产的0.18μm半导体技术,可以制造4000万门规模的LSI,能够将CPU芯核、存储器、各种IP(MPEG解码器等功能块)放在一个芯片上。但是另一方面,由于系统LSI的开发周期长以及将各种系统功能统一在一个芯片上的开发风险增大,所以要求革新系统LSI的设计环境。在此,将简要说明以IP再利用、系统级设计、RTL级设计、版图设计及试验设计为中心的系统LSI设计环境,以及为有效运用这些设计环境,所采取的设计框…  相似文献   

11.
当设计转向90nm以下工艺之后,低功耗成为重要的考虑因素之一。为了达到功耗目标,设计师正越来越多地采用高级低功耗设计技术,如电源关断(PS0)、多供电电压(MSV)等。但是,支持这些技术的EDA工具是支离破碎的,不同的工具需要不同的方式来表示低功耗意图。这给设计师带来了相当大的设计难度,更使设计的可预测性和验证变得极其困难。  相似文献   

12.
微波系统的设计越来越复杂,对电路的指标要求越来越高,而设计周期却越来越短。传统的设计方法已经不能满足微波电路设计的需要,使用微波EDA软件工具进行微波元器件与微波系统的设计已经成为微波电路设计的必然趋势。EDA即Electronic Design Automation,电子设计自动化;目前,国外各种商业化的微波EDA软件工具不断涌现,  相似文献   

13.
基于试验设计技术的IC优化设计   总被引:1,自引:0,他引:1       下载免费PDF全文
游海龙  张小波  贾新章   《电子器件》2005,28(4):830-833
现代集成电路(IC)的优化设计主要依靠EDA工具完成。但是针对多指标、多参数的IC设计,单纯依靠EDA工具存在效率低以及指标和参数个数限制等问题。从试验设计技术出发,以EDA仿真作为实验,建立指标与参数的统计模型,进而优化设计电路,解决了上述问题。将该方法应用于4参数、3指标的低功耗集成运放的设计,仅通过16轮仿真试验,得到了电路指标最优情况下的参数设置。该方法对多指标、复杂参数的集成电路的优化设计更具优越性。  相似文献   

14.
EDA技术在教学实践中的应用   总被引:1,自引:0,他引:1  
刘玉英 《现代电子技术》2004,27(16):53-55,57
EDA在电子技术教学实戢中的应用是现代教育发展的一种趋势。本文通过数字式测温仪这一综合性设计实例。比较全面地说明了模拟、数字电路的设计工具以及PCB设计工具的使用。通过本设计。有意识地使学生熟练掌握模拟电路、数字电路的知识。培养他们运用EDA和PLD技术的能力,以满足时代的需要。  相似文献   

15.
在大规模IC设计中越来越多地使用Verilog语言描述硬件功能并采用模块化设计方法,随着设计规模的增大,设计中的项目管理越来越重要和复杂。本文介绍了一个作者在工作实践中开发的基于Perl的EDA工具,方便地从设计文档中自动生成Verilog代码,确保设计文档和Verilog代码的一致性,提高工作效率并保障设计质量。  相似文献   

16.
《移动通信》2006,30(12):79-79
安捷伦科技日前发布它核心高频电子设计自动化(EDA)软件的下一重要版本。新先进设计系统(ADS)2006A版使设计师能容易地利用RF系统结构分析和电路综合能力,在他们当前ADS设计流程中建立复杂高频系统和电路设计。  相似文献   

17.
电子设计自动化(EDA)技术虽然不断做出努力以适应电子产品特别是集成电路设计业日益增长的需求,但是不满之声仍然遍及整个行业。在设计自动化会议和其它有关的会议上“设计工具太难用”的指责之声不断,设计工具为什么太难用?除了设计任务日渐复杂,设计工具也跟着变得复杂这一因素外,最重要的原因是各种设计软件之间不能互操作,因而设计业要花费大量的人力和财力去解决这个问题,据报道美国每年花费在解决设计工具软件互操作上的费用高达40~50亿美元,为EDA软件销售额的2倍!所谓互操作就是指某一设计工具生成的数据送至…  相似文献   

18.
陈远 《中国集成电路》2004,(5):42-47,52
在大规模IC设计中越来越多地使用Verilog语言描述硬件功能并采用模块化设计方法。随着设计规模的增大,设计中的项目管理越来越重要和复杂,本文介绍了作者在工作实践中开发的基于Perl的EDA工具.通过它可以方便地从设计文档中自动生成Verilog代码,确保设计文档和Verilog代码的一致性.提高工作效率并保障设计质量。  相似文献   

19.
随着客户需求的复杂化及先进EDA工具的使用,MCU芯片向高复杂性、高集成度、高性能发展,电路规模越来越大,这使得MCU的可测性设计变得越来越困难。介绍了传统测试结构及其局限性,以及优化后的测试结构及其测试策略,实现了CKS32F0XX芯片的测试向量产生及整体测试。  相似文献   

20.
随着芯片设计日趋复杂,如何验证产品功能,确认其表现符合原设计要求,这已变成一项困难挑战,特别在模拟与混合信号(Analog&Mixed Signal)IC的设计上困难度更高。在模拟与混合信号SoC设计所用的EDA工具中,多年以来明导信息的市占率远远高过其他两强,就2008年的市占率来说,该公司高达54%,成绩相当亮眼。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号