首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 187 毫秒
1.
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计并实现了一个频率、幅值可调的信号发生器,同时阐述了该信号发生器的工作原理、电路结构及设计思路。经过电路调试,输出波形达到技术要求,证明了该信号发生器的有效性和可靠性。  相似文献   

2.
目前,我国铁道通信频率调制信号仍以二进制键控频移(2FSK)为主,为能够方便地产生2FSK调制信号,文中采用直接数字频率合成(DDS)技术研究设计了一种铁路专用的2FSK信号发生器。该信号发生器以FPGA为开发平台实现DDS模块功能,其输出离散电压信号经AD9708进行D/A转换,最后通过一个7阶椭圆低通滤波器输出正弦信号,同时单片机MSP430149作为整个系统的控制单元,控制信号的发送。所设计系统结构稳定,频率可调,相位连续,操作简单。  相似文献   

3.
阐述了直接数字频率合成(DDS)技术的工作原理、电路结构及设计的思路和实现方法.利用FPGA芯片及D/A转换器,采用4级流水线结构和ROM数据压缩等优化技术,设计实现了一个频率、相位可调的正弦信号发生器,取得了较好的整体性能,得到了较理想的波形和较好的频谱.  相似文献   

4.
基于FPGA的DDS正弦信号发生器的设计和实现   总被引:17,自引:0,他引:17       下载免费PDF全文
余勇  郑小林   《电子器件》2005,28(3):596-599
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可控的正弦信号发生器,同时阐述了直接数字频率合成(DDS)技术的工作原理、电路结构,及设计的思路和实现方法。经过设计和电路测试,输出波形达到了技术要求,控制灵活、性能较好,也证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

5.
《现代电子技术》2016,(17):89-92
提出了一种以FPGA为主控芯片、基于DDS技术的多信号合成设计方案,并用硬件实现了该系统。系统主要实现两个功能:首先,实现了输出8路频率、相位和幅度可调的正弦波信号;其次,将8路正弦波信号叠加后输出,完成了多路信号合成的硬件实现。硬件系统由数字逻辑部分和模拟电路两部分组成;数字逻辑部分在QuartusⅡ8.1上设计,并通过Active Serial Programming下载到FPGA的配置芯片EPCS4中,逻辑功能都在FPGA芯片内部完成;该部分控制液晶显示器和键盘,实现对正弦波信号的调制输出;模拟电路部分在Altium Designer Summer 09上设计,应用PCB工艺制作成电路板。模拟电路部分连接数字逻辑部分的输出,完成调制信号的滤波和信号合成。  相似文献   

6.
基于FPGA的幅值可调信号发生器设计   总被引:3,自引:0,他引:3  
张有志  张鹍 《电子设计工程》2011,19(9):115-117,120
针对信号发生器对输出频率精度高和幅值可调的要求,采用直接数字频率合成(DDS)技术,提出一种基于FP-GA的幅值、频率均可调的、高分辨率、高稳定度的信号发生器设计方案。采用AT89S52单片机为控制器,控制FPGA产生波形的数字信号,结合双数模(D/A)转换器及低通滤波器,最终实现输出信号幅值0~5 V可调,分辨率为10 bits;频率范围1 Hz~10 MHz可调,最小分辨率为1 Hz;频率稳定度优于10-4。信号参数可通过键盘进行设置,并在LCD上输出。由于FPGA的可编程性,易于对系统进行升级和优化。  相似文献   

7.
吴进 《电讯技术》2011,51(4):60-63
利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的三相正弦信号发生器.正弦调制波的产生采用查表法,仅将1/4周期的正弦波数据存入ROM中,减少了系统的硬件开销.仿真和电路测试表明,输出波形完全达到了技术要求,证明了设计的正确性和可行性.  相似文献   

8.
基于FPGA和直接数字频率合成(DDS)技术,提出一种以软件方法实现波形信号垂直 偏移量任意可调的信号发生器的设计方案,通过引入除法器、加法器、数据取反 器实现对波形信号的幅度调节和垂直偏移量调节。采用FPGA芯片EP1C12Q240C8实验 验证了该波形信号发生器不需要外加硬件电路就可以实现对输出波形垂直偏移量的任意调节 ,且能灵活改变输出波形信号的幅度、相位和频率。  相似文献   

9.
黄江鹏 《电视技术》2014,38(5):62-65
针对以往多路信号源数模转换模块及后续调理电路的复杂性问题,提出了一种基于FPGA和PCI总线技术的信号源系统设计。以FPGA作为中央控制核心,采用D/A转换器AD5628,围绕其展开系统硬件电路与FPGA逻辑时序设计,实现了多路幅值为-6~6 V可调模拟量信号源的并行输出。测试结果表明,系统输出信号精度高,稳定性强,满足设计要求。  相似文献   

10.
采用了以单片机为核心,应用大规模集成逻辑器件FPGA、高速D/A转换器MAX5885和功率放大电路的方案设计了一款任意波形功率驱动电源.系统由单片机控制各个模块,频率合成电路合成所需的不同频率的时钟作为控制地址计数器的时钟信号,而地址计数器的计数输出提供读取存储的数字波形数据的地址,数据经数模转换为模拟信号并经过功率放大后输出.该电源可以双路输出1 H~-1 MHz频率范围内幅度、频率和相位可调的任意波形,同时将输出信号进行功率放大以达到有效驱动负载的目的.测试结果表明,该电源在最大输出电流为2A时最大输出功率为200 W.  相似文献   

11.
为了适应国际上仪器接口技术的发展,利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可控的带有LAN接口的正弦信号发生器,阐述电路结构及设计的思路和实现方法,本函数发生器可以方便地进入远控状态和本控状态,以低成本实现较高的性能并且具有很大的扩展性,为进一步研制LXI总线程控仪器做准备。  相似文献   

12.
提出了一种基于现场可编程门阵列(Field Programmable Gate Array,FPGA)的高精度视频图形阵列(Video Graphics Array,VGA)个性化显示函数信号发生器的整体设计方案.通过对传统信号发生器进行改进,再利用先进的直接数字式频率合成器(Direct Digital Synthesizer,DDS)波形发生理论,获得了较理想的信号输出.本设计充分发挥了FPGA大逻辑门容量、超高精准时钟的特点.在软件编程过程中扩展了VGA个性化显示、参数掉电存储等功能.硬件电路则主要采用超高精准度的DAC902U芯片和7阶的椭圆低通滤波器,以求达到最佳的模拟信号输出效果.  相似文献   

13.
设计了一种以FPGA为核心,基于AD5422实现的高精度多功能信号发生器。该方案能够输出方波、正弦波、三角波、恒压、恒流等多种波形的电压、电流信号,其频率、幅值等在一定范围内任意可调。并设计了人机交互接口,使得系统的控制操作直观方便、容易上手。经调试所设计的信号发生器能够满足设计要求。相对于传统的信号发生器,本系统有处理速度快、方便、灵活、抗干扰能力强等优点。  相似文献   

14.
在信号发生器中DDS/FPGA的应用   总被引:4,自引:1,他引:4  
直接数字频率合成(DDS)技术是近年来迅速发展起来的新的频率合成方法,在很多领域得到了广泛应用。根据DDS原理及应用现状,介绍了DDS芯片AD9854与可编程门阵列(FPGA)相结合,设计开发了一种信号发生器,该系统具有灵活可变、可由用户控制的特点,用户可通过选择不同控制模块得到各种不同的线形调频(LFM)信号。  相似文献   

15.
王嘉成  于鹏 《电子科技》2013,26(10):128-130,135
介绍了以FPGA为核心器件,采用Verilog HDL作为硬件描述语言的移相信号发生器的设计。该移相信号发生器以DDS模型作为基本原理,利用FPGA的嵌入式存储器块作为波形数据的存储单元,最终通过D/A转换单元可输出正弦波、三角波、方波等任意波形的同频率原始参考信号和移相信号两路波形,除D/A转换器及相关电路外,所有功能电路模块均集中在一片FPGA中实现。与传统移相信号发生器相比,该设计的频率分辨度高、信号频谱良好、易于实现且成本低廉。  相似文献   

16.
设计了一种适用于水声宽带恒定束宽波束形成器的多通道数据采集方案。采用FPGA技术,控制16个A/D转换芯片,同时对阵列的16个通道信号进行模/数转换,使采样后各个通道之间的相位误差小于1°,满足了恒定束宽波束形成器对各通道相位一致性的要求。该方案已在水声阵列信号探测系统中成功应用。  相似文献   

17.
提出一种基于FPGA的空间电场信号数据采集与处理系统的设计方案,FPGA为主控制器控制A/D采样和同步422发送。X,Y,Z三个方向的空间电场信号经过信号处理和A/D采样,在FPGA片内滤波划分为不同的频段,通过同步422接口发送到后续设备。该系统性能可靠稳定,致力于应用在探空火箭有效载荷——箭载电场仪上,对其他电场信号采集与处理系统也有一定的应用价值。  相似文献   

18.
一种基于FPGA的正弦波信号发生器的设计   总被引:1,自引:0,他引:1  
现代测试领域中,经常需要信号发生器提供多种多样的的测试信号去检验实际电路中存在的设计问题。传统的信号发生器多采用模拟电路搭建。以正弦波信号发生器为例,结合DDS直接数字合成技术,基于FP-GA设计其他外围电路构成正弦波信号发生器。相比传统的模拟信号发生器,该电路具有设计简单,升级容易,波形稳定等特点。  相似文献   

19.
基于FPGA的简易逻辑分析仪设计   总被引:3,自引:0,他引:3  
基于数字信号采集及数字示波器存储显示原理,并以AT89S52单片机和现场可编程门阵列(FPGA)组成的最小系统为核心,采用数字信号发生器模块、由模拟开关和A/D采样组成的信号并行采集电路、触发模块、数据储存模块和显示电路等构成简易逻辑分析仪.该分析仪的功能全面,价格低,能实时分析8路数字信号,具有很高的实用价值.  相似文献   

20.
基于PCI的视频信号发生器的实现方法   总被引:1,自引:0,他引:1  
王信 《电子科技》2005,(3):25-28
提出了一种基于PCI总线的视频图像数据发送系统的设计和实现方法.主要介绍系统的硬件实现方法和软件的实现流程.该系统利用PLX9054作为PCI的接口芯片,用FPGA实现9054PCI 接口芯片与发送系统总线之间的控制,D/A转换芯片完成同步信号和视频图像数据的组合,并转换成模拟视频信号,作为发送系统的输出.该系统为图像跟踪与识别系统提供一个定量的性能测试设备.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号