首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
In this work hafnium oxide (HfO2) was deposited by r.f. magnetron sputtering at room temperature and then annealed at 200 °C in forming gas (N2+H2) and oxygen atmospheres, respectively for 2, 5 and 10 h. After 2 h annealing in forming gas an improvement in the interface properties occurs with the associated flat band voltage changing from −2.23 to −1.28 V. This means a reduction in the oxide charge density from 1.33×1012 to 7.62×1011 cm−2. After 5 h annealing only the dielectric constant improves due to densification of the film. Finally, after 10 h annealing we notice a degradation of the electrical film's properties, with the flat band voltage and fixed charge density being −2.96 V and 1.64×1012 cm−2, respectively. Besides that, the leakage current also increases due to crystallization. On the other hand, by depositing the films at 200 °C or annealing it in an oxidizing atmosphere no improvements are observed when comparing these data to the ones obtained by annealing the films in forming gas. Here the flat band voltage is more negative and the hysteresis on the CV plot is larger than the one recorded on films annealed in forming gas, meaning a degradation of the interfacial properties.  相似文献   

2.
We have fabricated thin catalytic metal–insulator–silicon carbide based structure with palladium (Pd) gates using TiO2 as the dielectric. The temperature stability of the capacitor is of critical importance for use in the fabrication of electronics for deployment in extreme environments. We have evaluated the response to temperatures in excess of 450 °C in air and observed that the characteristics are stable. Results of high temperature characterization are presented here with extraction of interface state density up to 650 °C. The results show that at temperatures below 400 °C the capacitors are stable, with a density of interface traps of approximately 6×1011 cm2 eV−1. Above this temperature the CV and GV characteristics show the influence of a second set of traps, with a density around 1×1013 cm2 eV−1, which is close to that observed for slow states near the conduction band edge. The study of breakdown field as a function of temperature shows two distinct regions, below 300 °C where the breakdown voltage has a strong temperature dependence and above 300, where it is weaker. We hypothesize that the oxide layer dominates the breakdown voltage at low temperature and the TiO2 layer above 300 °C. These results at high temperatures confirms the suitability of the Pd/TiO2/SiO2/SiC capacitor structure for stable operation in high temperature environments.  相似文献   

3.
Aluminum nitride films were deposited, at 200 °C, on silicon substrates by RF sputtering. Effects of rapid thermal annealing on these films, at temperatures ranging from 400 to 1000 °C, have been studied. Fourier transform infrared spectroscopy (FTIR) revealed that the characteristic absorption band of Al–N, around 684 cm−1, became prominent with increased annealing temperature. X-ray diffraction (XRD) patterns exhibited a better, c-axis, (0 0 2) oriented AlN films at 800 °C. Significant rise in surface roughness, from 2.1 to 3.68 nm, was observed as annealing temperatures increased. Apart from these observations, micro-cracks were observed at 1000 °C. Insulator charge density increased from 2×1011 to 7.7×1011 cm−2 at higher temperatures, whereas, the interface charge density was found minimum, 3.2×1011 eV−1cm−2, at 600 °C.  相似文献   

4.
This work is an attempt to estimate the electrical properties of SiO2 thin films by recording and analyzing their infrared transmission spectra. In order to study a big variety of films having different infrared and electrical properties, we studied SiO2 films prepared by low pressure chemical vapor deposition (LPCVD) from SiH4 + O2 mixtures at 425 °C and annealed at 750 °C and 950 °C for 30 min. In addition thermally grown gate quality SiO2 films of similar thickness were studied in order to compare their infrared and electrical properties with the LPCVD oxides. It was found that all studied SiO2 films have two groups of Si–O–Si bridges. The first group corresponds to bridges located in the bulk of the film and far away from the interfaces, the grain boundaries and defects and the second group corresponds to all other bridges located near the interfaces, the grain boundaries and defects. The relative population of the bulk over the boundary bridges was found equal to 0.60 for the LPCVD film after deposition and increased to 4.0 for the LPCVD films after annealing at 950 °C. Thermally grown SiO2 films at 950 °C were found to have a relative population of Si–O–Si bridges equal to 3.9. The interface trap density of the LPCVD film after deposition was found equal to 5.47 × 1012 eV−1 cm−2 and decreases to 6.50 × 1010 eV−1 cm−2 after annealing at 950 °C for 30 min. The interface trap density of the thermally grown film was found equal to 1.27 × 1011 eV−1 cm−2 showing that films with similar Si–O–Si bridge populations calculated from the FTIR analysis have similar interface trap densities.  相似文献   

5.
HfO2 films were deposited at low temperature (400 °C) by UV assisted injection metal-organic chemical vapor deposition (UVI-MOCVD). A three-step process was used for this study, consisting of (A) Pre-deposition anneal for nitridation; (B) Deposition step; (C) Post-deposition annealing in oxygen. Special attention was paid to the effect of UV exposure during these steps. Films were characterized by physical, optical and electrical techniques. Thickness was determined by different methods (X-ray Reflectrometry (XRR), spectroscopic ellipsometry and transmission electron microscopy) and a good agreement was found for all samples. The HfO2 permittivity, equivalent oxide thickness (EOT), flat-band voltage (Vfb) and total charge (Qt) were extracted from the CV response at high frequency taking into account the HfO2 and SiO2 thicknesses obtained by XRR. The calculated permittivity values were in the range 7–13, i.e. lower than theoretical values for the monoclinic phase. Explanations are suggested in the context of the other characterizations. JEeff characteristics were constructed taking into account the EOT values (Eeff = V/EOT). Effective breakdown fields range between 8.7 and 16.9 MV/cm. No dependence of Eeff with UV exposure was found.  相似文献   

6.
High-k gate dielectric La2O3 thin films have been deposited on Si(1 0 0) substrates by molecular beam epitaxy (MBE). Al/La2O3/Si metal-oxide–semiconductor capacitor structures were fabricated and measured. A leakage current of 3 × 10−9 A/cm2 and dielectric constant between 20 and 25 has been measured for samples having an equivalent oxide thickness (EOT) 2.2 nm. The estimated interface state density Dit is around 1 × 1011 eV−1 cm−2. EOT and flat-band voltage were calculated using the NCSU CVC program. The chemical composition of the La2O3 films was measured using X-ray photoelectron spectrometry and Rutherford backscattering. Current density vs. voltage curves show that the La2O3 films have a leakage current several orders of magnitude lower than SiO2 at the same EOT. Thin La2O3 layers survive anneals of up to 900 °C for 30 s with no degradation in electrical properties.  相似文献   

7.
The conduction mechanisms and the microstructure of rf sputtered Ta2O5 on Si, before and after oxygen annealing at high temperatures (873, 1123 K; 30 min) have been investigated. The as-deposited and annealed at 873 K layers are amorphous whereas crystalline Ta2O5 (orthorhombic β-Ta2O5 phase) was obtained after O2 treatment at 1123 K. The results (electrical, X-ray diffraction, transmission electron microscopy) reveal the formation of an interfacial ultrathin SiO2 layer under all technological regimes used. The higher (493 K) substrate temperature during deposition stimulates the formation of amorphous rather than crystalline SiO2. It is found that the oxygen heating significantly reduces the oxide charge (Qf<1010 cm−2) and improves the breakdown characteristics (the effect is more pronounced for the higher annealing temperature). It is accompanied by an increase of the effective dielectric constant (up to 37 after 1123 K treatment). It is established that the influence of the oxygen treatment on the leakage current is different depending on the film thickness, namely: a beneficial effect for the thinner and a deterioration of leakage characteristics for thicker (80 nm) films. A leakage current density as low as 10−7 A/cm2 at 1 MV/cm applied field for 26 nm annealed layers has been obtained. The current reduction is considered to be due to a removal by annealing of certain structural nonperfections present in the initial layers. Generally, the results are discussed in terms of simultaneous action of two opposite and competing processes taking place at high temperatures––a real annealing of defects and an appearance of a crystal phase and/or a neutral traps generation. The contribution of the neutral traps also is involved to explain the observed weaker charge trapping in the as-fabricated films compared to the annealed ones.The conduction mechanism of the as-deposited films is found to be of Poole–Frenkel (PF) type for a wide range of applied fields. A change of the conduction mechanism for the annealed films at medium fields (0.8–1.3 MV/cm) is established. This transition from PF process to the Schottky emission limited current is explained with an annealing of bulk traps (oxygen vacancies and nonperfect bonds). It is concluded that the dominant conduction mechanism in the intermediate fields can be effectively controlled by appropriate technological steps.  相似文献   

8.
Dispersion analysis was performed on low pressure chemically vapor deposited (LPCVD) SiO2 films grown from SiH4 + O2 at 425 °C. The transmission spectra were analyzed using four Lorentz oscillators within the range 900–1400 cm−1. It was found that the distribution of the SiOSi angles is a superposition of two Gaussians; one corresponding to bridges located in the bulk of the film and one corresponding to bridges located close to the boundaries of the film namely the interfaces of the films and the grain boundaries. The ratio between the bulk like SiOSi bridges over the boundary bridges was found equal to 0.61:1 indicating that films grown from SiH4 + O2 contain a higher number of boundary SiOSi bridges relative to those located in the bulk of the film. After annealing for 30 min at temperatures in the range from 550 to 950 °C, films were found to have a lower thickness. The calculated ratio of the two distributions after annealing have shown a clear reduction in the concentration of the boundary bridges as the temperature of annealing increases, in advance of the bridges located in the bulk of the film. For the film annealed at 950 °C for 30 min the ratio was found equal to 4.0:1 which is the same to that of thermally grown films at the same temperature.  相似文献   

9.
High purity tantalum metal was deposited on finely polished single crystal, polycrystalline silicon substrates and on the thermally grown oxide layers as a combination of Si/SiO2/poly-Si/Ta, under oil-free ultra-high vacuum conditions, of the order of 6.0 × 10−9 Torr, at a deposition rate of 2.0 Å s−1, using an e-beam evaporator. The measured resistivity of the as-deposited tantalum films show relatively high resistivity values to that of the bulk. Thermal annealing of these poly-Si/Ta, under argon (with 10% H2) ambient, shows the increase in the resistivity values at relatively low temperatures, and it was observed that the film's electrical conductivity ceases in the temperature range of 500–600°C. The oxidation properties of tantalum and the possible formation of TaSi2 and Ta2O5 were analysed for from a reliability point of view.  相似文献   

10.
Low-dielectric constant SiOC:H films were prepared by plasma enhanced chemical vapour deposition (PECVD) from trimethyl-silane (H–Si–(CH3)3) and ozone (O3) gas mixture. The samples were preliminarily annealed at 400 °C in N2 atmosphere and then in N2+He plasma. Afterwards, they were treated in vacuum at some fixed temperatures in the range between 400 and 900 °C. Structural investigations of the annealed films were carried out by means of vibrational spectroscopy techniques. FT-IR spectrum of a preliminarily treated sample shows absorption bands due to stretching modes of structural groups like Si–CH3 at 1270 cm−1, Si–O–Si at 1034 cm−1 and C–Hx in the region between 2800 and 3000 cm−1. No significant spectral change was observed in the absorption spectra of samples annealed up to 600 °C, indicating that the preliminarily treated film retains a substantial structural stability up to this temperature. Above 600 °C, absorption spectra show a strong quenching of H-related peaks while the band due to Si–O–Si anti-symmetric stretching mode shifts towards higher energy, approaching the value observed for thermally grown SiO2. Raman spectra of samples treated at temperatures T500 °C exhibit both D and G bands typical of sp2-hybridised carbon, due to the formation of C–C bonds within the film which is accompanying the release of hydrogen. The intensity of D and G bands becomes more pronounced in samples annealed at higher temperatures, thus suggesting a progressive precipitation of carbon within the oxide matrix.  相似文献   

11.
The DCIV method was applied to investigate negative bias temperature instability (NBTI) in SiO2 gate oxides. The DCIV technique, which measures the interface defect density independently from bulk oxide charges, delineates the contribution of the interface defect generation to the overall NBTI measured by the threshold voltage shift, ΔVTH. The DCIV results obtained during both stress and relaxation phases are generally consistent with the main features of the reaction–diffusion (R–D) model, which suggests positive charge generation/annealing at the Si/SiO2 interface due to breaking/re-passivation of the Si–H bonds. These results are in agreement with the spin-dependent recombination (SDR) experiments, which reflect the density of the Si dangling bonds at the Si/SiO2 interface (Pb centers) and its vicinity (E′ centers). Comparison of degradation kinetics as measured by DCIV, charge-pumping, and ID − VGVTH) techniques, however, suggests that ΔVTH includes additional contributions, most likely from the oxide bulk charges. For comparison, an NBTI study was also performed on the high-k HfO2/SiO2 gate stacks. After adjusting for the high-k related contribution, similar kinetics of the long-term stress interface trap generation was observed in SiO2 and high-k gate stacks suggesting a common mechanism of the interface degradation.  相似文献   

12.
Using hydrofluoric acid (HF) as catalyst, nanoporous SiO2 thin film was synthesized by sol–gel method. By scanning electron microscopy, Fourier transform infrared spectra, thermo gravimetric and differential thermal analysis, ellipsometry, capacitance–voltage and current–voltage measurements, the effects of annealing on film properties were discussed in detail. The introduction of HF results in the less polarizability, the preferable microstructures and the improved thermal stability of the nanoporous silica films. After thermal annealing at 450 °C, the crack-free films with strong hydrophobicity, ultra-low dielectric constant of 1.65, porosity of 78%, and leakage current density of 1.3 × 10−8 A cm−2 were obtained.  相似文献   

13.
MOSFETs and MOSCs incorporating HfO2 gate dielectrics were fabricated. The IDSVDS, IDSVGS, gated-diode and CV characteristics were investigated. The subthreshold swing and the interface trap density were obtained. The surface recombination velocity and the minority carrier lifetime in the field-induced depletion region measured from the gated diodes were about 2.73 × 103 cm/s and 1.63 × 10−6 s, respectively. The effective capture cross section of surface state was determined to be 1.6 × 10−15 cm2 using the gated-diode technique in comparison with the subthreshold swing measurement. A comparison with conventional MOSFETs using SiO2 gate oxide was also made.  相似文献   

14.
Ballistic electron emission microscopy (BEEM) and ballistic electron emission spectroscopy have been performed on polycrystalline and epitaxial CoSi2/n-Si(1 0 0) contacts at temperatures ranging from −144°C to −20°C. The ultra-thin CoSi2 films (10 nm) were fabricated by solid state reaction of a single layer of Co (3 nm) or a multilayer of Ti (1 nm)/Co (3 nm)/amorphous-Si(1 nm)/Ti (1 nm) with a Si substrate, respectively. The spatial distribution of barrier height over the contact area obeys a Gaussian function at each temperature. The mean barrier height increases almost linearly with decreasing temperature with a coefficient of −0.23±0.02 meV/K for polycrystalline CoSi2/Si diodes and −0.13±0.03 meV/K for epitaxial diodes. This is approximately equal to one or one-half of the temperature coefficient of the indirect energy gap in Si, respectively. It suggests that the Fermi level is pinned to different band positions of Si. The width of the Gaussian distribution is about 30–40 meV, without clear dependence on the temperature. The results obtained from conventional current–voltage and capacitance–voltage (IV/CV) measurements are compared to BEEM results.  相似文献   

15.
A low effective oxide thickness of 1.45 nm was achieved in HfAlO films deposited by an electron beam gun evaporator on unheated p-Si substrate. A reduction of the leakage current density from 1 × 10−4 to 4.5 × 10−7 A/cm2, at an electric field 3 MV/cm, with annealing temperature and a breakdown electric field of 10 MV/cm were demonstrated for ultra thin films.  相似文献   

16.
We report measured evolutions of the optical band gap, refractive index and relative dielectric constant of TiO2 films obtained by electron beam gun evaporation and annealed in an oxygen environment. A negative shift of the flat band voltage with increasing annealing temperatures, for any film thickness, is observed. A dramatic reduction of the leakage current by about four orders of magnitude to 5×10−6 A cm−2 (at 1 MV cm−1) after 700°C and 60 min annealing is found for films thinner than 15 nm. The basic carrier transport mechanisms at different ranges of applied voltage such as hopping, space charge limited current and Fowler–Nordheim is established. An equivalent SiO2 thickness in order of 3.5 nm is demonstrated.  相似文献   

17.
SiO2 thin films, with thickness ranging between approximately 13 and 95 nm, have been thermally grown at 950°C in dry oxygen and chemically vapor deposited at low pressures (0.3 Torr) by decomposition of tetraethylorthosilicate (TEOS) at 710°C, on Si (100) substrates. Dispersion analysis was performed on Fourier transform infrared (FTIR) transmission spectra of these films within the range 900–1400 cm−1. It was found that the spectra were best described within this range, by four Lorentz oscillators located near 1060, 1089, 1165 and 1220 cm−1 almost independent of film thickness. The polarization of the oscillators (proportional to their strength) was found to increase slightly, and their widths to decrease, with film thickness. From the study of the FTIR spectra obtained at room temperature, it was suggested that at this temperature, a considerable number of Si–O–Si angles in these SiO2 films are distributed in a way expected at higher temperatures and that the distribution of the Si–O–Si angles depends on the thermal history of the film and the method of growth.  相似文献   

18.
Aluminium nitride (AlN) thin films were deposited by radio frequency (RF) magnetron sputtering on p-type silicon (Si) substrate of (1 0 0) orientation using only argon (Ar) gas at substrate temperature of 300 °C. In order to achieve improved electrical properties, we performed post-deposition rapid thermal annealing (RTA). Sputtered AlN films were annealed in an oxygen ambient at temperatures of 600, 700, and 800 °C using RTA for 30 min. The orientation of the AlN crystal in the film was investigated using X-ray diffraction (XRD). The characteristic spectra by functional group were analyzed by Fourier transformation infrared (FTIR) spectroscopy. The electrical properties of the AlN thin films were studied through capacitance–voltage (C–V) characteristics in metal–insulator–semiconductor (MIS) device using the films as insulating layers. The flatband voltages (VFB) in C–V curves were found to depend on crystal orientations. Negative VFB was found in the case when AlN (1 0 0) peak was found. Also, when AlN (1 0 3) peak was observed upon increasing the annealing temperature, the value of VFB was positive and after annealing at 700 °C, AlN (1 0 3) peak intensity was found to be maximum and VFB was as high as+6.5 V.  相似文献   

19.
In the present work, the potential of hafnium silicate (HfxSiyO2) films as an alternative gate dielectric to SiO2 for future technology generations is demonstrated. Thermally stable HfxSiyO2 films are deposited from a single-source MOCVD precursor. IV and CV measurement data are presented and effects of post-deposition annealing on electrical properties are discussed. A 900 °C O2-anneal shows best results in terms of leakage current characteristics and is, therefore, intensively investigated.  相似文献   

20.
Deep level defects in both p+/n junctions and n-type Schottky GaN diodes are studied using the Fourier transform deep level transient spectroscopy. An electron trap level was detected in the range of energies at EcEt=0.23–0.27 eV with a capture cross-section of the order of 10−19–10−16 cm2 for both the p+/n and n-type Schottky GaN diodes. For one set of p+/n diodes with a structure of Au/Pt/p+–GaN/n–GaN/n+–GaN/Ti/Al/Pd/Au and the n-type Schottky diodes, two other common electron traps are found at energy positions, EcEt=0.53–0.56 eV and 0.79–0.82 eV. In addition, an electron trap level with energy position at EcEt=1.07 eV and a capture cross-section of σn=1.6×10−13 cm2 are detected for the n-type Schottky diodes. This trap level has not been previously reported in the literature. For the other set of p+/n diodes with a structure of Au/Ni/p+–GaN/n–GaN/n+–GaN/Ti/Al/Pd/Au, a prominent minority carrier (hole) trap level was also identified with an energy position at EtEv=0.85 eV and a capture cross-section of σn=8.1×10−14 cm2. The 0.56 eV electron trap level observed in n-type Schottky diode and the 0.23 eV electron trap level detected in the p+/n diode with Ni/Au contact are attributed to the extended defects based on the observation of logarithmic capture kinetics.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号