首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The results of studying the electrical properties and isochronous annealing of p-ZnSnAs2 irradiated with H+ ions (energy E = 5 MeV, dose D = 2 × 1016 cm?2) are reported. The limiting electrical characteristics of irradiated material (the Hall coefficient R H (D)lim ≈ ?4 × 103 cm3 C?1, conductivity σ (D)lim ≈ 2.9 × 10?2 Ω?1 cm?1, and the Fermi level position F lim ≈ 0.58 eV above the valence-band top at 300 K) are determined. The energy position of the “neutral” point for the ZnSnAs2 compound is calculated.  相似文献   

2.
Protons with energy E=100 keV were implanted with doses ranging from 2×1017 to 4×1017 cm?2 into 6H-and 4H-SiC n-type samples at room temperature. The samples were subjected to various types of postimplantation heat treatment in the temperature range 550–1500°C. The parameters of the samples were studied by measuring the capacitance-voltage and current-voltage characteristics and by analyzing the photoluminescence spectra. Blistering on the surface of the sample is observed after annealing the samples at a temperature of 800°C only after implantation of protons with a dose of ≤3×1017 cm?2. A decrease in the resistivity of the compensated layer sets in after annealing at a temperature of ~1200°C and is completed after annealing at a temperature of ~1500°C. A drastic decrease in the photoluminescence intensity is observed after implantation for all types of samples. Recovery of the photoluminescence intensity sets in after annealing at temperatures ≥800°C and is complete after annealing at a temperature of 1500°C.  相似文献   

3.
The results of studying the surface Si layer and precipitate formation in CZ n-Si(100) samples sequentially implanted with 64Zn+ ions with a dose of 5 × 1016 cm2 and energy of 100 keV and 16O+ ions with the same dose but an energy of 33 keV at room temperature so that their projection paths Rp = 70 nm would coincide are presented. The post-implantation samples are annealed for 1 h in an inert Ar medium in the temperature range of 400–900°C with a step of 100°C. The profiles of the implanted impurities are studied by time-of-flight secondary ion mass spectrometry. The Si surface is visualized using a scanning electron microscope, while the near-surface layer is visualized with the help of maps of elements formed by Auger electron spectroscopy with profiling over depth. The ZnO(002) texture is formed in an amorphized Si layer after the implantation of Zn and O ions. ZnO(102) crystallites of 5 nm in size are found in a recrystallized single-crystalline Si layer after annealing in Ar at 700°C.  相似文献   

4.
The possibility of fabricating heavily doped (N a ?N d ≥ 1 × 1019 cm?3) p+-4H-SiC layers on CVD-grown lightly doped n-4H-SiC layers by sublimation epitaxy has been demonstrated. It is shown that a Au/Pd/Ti/Pd contact, which combines a low specific contact resistance (~2 × 10?5 Ω cm2) with high thermal stability (up to 700°C), is the optimal contact to p-4H-SiC. The p-n structures obtained are used to fabricate packaged diodes with a breakdown voltage of up to 1400 V.  相似文献   

5.
Hydrogenated silicon (Si:H) layers and Si:H/p-Si heterostructures were produced by multiple-energy (3–24 keV) high-dose (5×1016–3×1017 cm?2) hydrogen implantation into p-Si wafers. After implantation, current transport across the structures is controlled by the Poole-Frenkel mechanism, with the energy of the dominating emission center equal to E c ?0.89 eV. The maximum photosensitivity is observed for structures implanted with 3.2×1017 cm?2 of hydrogen and annealed in the temperature range of 250–300°C. The band gap of the Si:H layer E g ≈2.4 eV, and the dielectric constant ?≈3.2. The density of states near the Fermi level is (1–2)×1017 cm?3 eV?1.  相似文献   

6.
The nonlinear behavior of the IV characteristics of symmetric contacts between a metal and degenerate n-GaN, which form oppositely connected Schottky diodes, is investigated at free-carrier densities from 1.5 × 1019 to 2.0 × 1020 cm–3 in GaN. It is demonstrated that, at an electron density of 2.0 × 1020 cm–3, the conductivity between metal (chromium) and GaN is implemented via electron tunneling and the resistivity of the Cr–GaN contact is 0.05 Ω mm. A method for determining the parameters of potential barriers from the IV characteristics of symmetric opposite contacts is developed. The effect of pronounced nonuniformity of the current density and voltage distributions over the contact area at low contact resistivity is taken into account. The potential-barrier height for Cr–n+-GaN contacts is found to be 0.47 ± 0.04 eV.  相似文献   

7.
The results of studying the electrical properties of InAs irradiated with 5-MeV H+ ions at a dose of 2×1016 cm?2 are reported. It is shown that, independently of the doping level and the conductivity type of the as-grown InAs, InAs always has the n+-type conductivity after irradiation (n≈(2–3)×1018 cm?3). The phenomenon of pinning of the Fermi level in the irradiated material is discussed. The thermal stability of radiation damage in InAs subjected to postirradiation annealing at temperatures as high as 800°C was studied.  相似文献   

8.
The current-voltage (I-V) characteristics of PbGa2Se4 single crystals grown by the Bridgman-Stockbarger method with a resistivity of 1010–1012 Ω cm were measured. The value of the majority carrier mobility μ=14 cm2 V?1 s?1, calculated by the differential method of analysis of I-V characteristics, makes it possible to evaluate a number of parameters: the carrier concentration at the cathode (nc0=2.48 cm?3), the width of the contact barrier dc=5.4×10?8 cm, the cathode transparency D c * =10?5–10?4 eV, and the quasi-Fermi level EF=0.38 eV. It is found that a high electric field provides the charge transport through PbGa2Se4 crystals in accordance with the Pool-Frenkel effect. The value of the dielectric constant calculated from the Frenkel factor is found to be equal to 8.4.  相似文献   

9.
The impact ionization of acceptors in aluminum-doped 4H-SiC epitaxial films (Al concentration 2 × 1015 cm?3) at a temperature of 77 K is studied. It is found that the impact-ionization coefficient exponentially depends on the reverse electric field: α p = α*pexp(?F*/F). The largest ionization coefficient is α* p = 7.1 × 106 cm?3 s?1, and the threshold field is F* = 2.9 × 104 V/cm.  相似文献   

10.
The Ni/n-21R-SiC(0001) and \(Ni/n - 21R - SiC(000\bar 1)\) surface-barrier structures formed on 21R-SiC crystals doped to a concentration of (1–2)×1018 cm?3 and grown by the Lely method were investigated prior to and after rapid thermal annealing (RTA) in vacuum (10?2 Pa) in the temperature range of 450–1100°C. Using X-ray diffraction analysis and Auger analysis, it is shown that cubic NiSi2 and orthorhombic δ-Ni2Si and NiSi silicides coexist with pure Ni in starting samples. The RTA brings about a polymorphic transformation of these phases, which causes the transformation of a barrier contact to a rectifying one independently of the SiC face type, even at T?600°C. The physicochemical mechanisms of degradation of these barrier structures are discussed.  相似文献   

11.
Sh. O. Eminov 《Semiconductors》2016,50(8):1005-1009
The optical absorption coefficient α in p+-InSb layers (with hole concentrations of p ≈ 1 × 1017–1.2 × 1019 cm–3), grown by liquid-phase epitaxy on p-InSb substrates, is measured in the spectral range of 5-12 µm at 90 K, and the impurity photoconductivity is measured (at 60 and 90 K) in p+p structures. It is found that a in the p+ layers reaches a value of 7000 cm–1 (at p ≈ 2 × 1019 cm–1). It is shown that the measured substrate value of (α ≈1–3 cm–1) is overestimated in comparison with estimates (α ≈ 0.1 cm–1) based on comparing the photoconductivity data. This discrepancy is explained by the fact that the optical transitions of holes responsible for photoconductivity are obscured by the excitation of electrons to the conduction band. The photoionization cross section for these transitions does not exceed 1 × 10–15 cm2.  相似文献   

12.
Nearly “ideal” static high-voltage breakdown (1060 V) in 4H-SiC p+-n-n+ diodes with guard ring termination is observed. At the doping level of 1.9 × 1016 cm?3 in the n-type base, the diode breakdown field is 2.7 × 106 V/cm. At the reverse bias as high as 1000 V, the leakage-current density does not exceed 5 × 10?5 A/cm2. The diodes withstand without degradation an avalanche-current density of 1 A/cm2, which corresponds to the dissipated power of 1 kW/cm2.  相似文献   

13.
Electrical and optical activation studies of lower dose Si-implanted AlxGa1?xN (x=0.14 and 0.24) have been made systematically as a function of ion dose and anneal temperature. Silicon ions were implanted at 200 keV with doses ranging from 1×1013 cm?2 to 1×1014 cm?2 at room temperature. The samples were proximity cap annealed from 1,100°C to 1,350°C with a 500-Å-thick AlN cap in a nitrogen environment. Nearly 100% electrical activation efficiency was obtained for Al0.24Ga0.76N implanted with a dose of 1 × 1014 cm?2 after annealing at an optimum temperature around 1,300°C, whereas for lower dose (≤5×1013 cm?2) implanted Al0.24Ga0.76N samples, the electrical activation efficiencies continue to increase with anneal temperature up through 1,350°C. Seventy-six percent electrical activation efficiency was obtained for Al0.14Ga0.86N implanted with a dose of 1 × 1014 cm?2 at an optimum anneal temperature of around 1,250°C. The highest mobilities obtained were 89 cm2/Vs and 76 cm2/Vs for the Al0.14Ga0.86N and Al0.24Ga0.76N, respectively. Consistent with the electrical results, the photoluminescence (PL) intensity of the donor-bound exciton peak increases as the anneal temperature increases from 1,100°C to 1,250°C, indicating an increased implantation damage recovery with anneal temperature.  相似文献   

14.
The temperature coefficient of resistivity (TCR) of ion implanted silicon can be significantly reduced by partially annealing the crystal damage produced during implantation. The extent to which this method can be used to temperature compensate the resistivity and the gage factor has been determined for 300 ohm-cm silicon on sapphire implanted with either 100 keV Al27 or P31 ions. The implantations were made at room temperature parallel to the 〈100〉 axis and in four fluences ranging from 1 × 1013cm?2 to 1·25 × 1015 cm?2. Sheet resistance, Hall coefficient, and effective mobility were measured from ?150°C to 150°C for various anneal temperatures. It was possible to obtain very low temperature dependences of sheet resistance at 300°K for all dopant fluences by appropriate partial annealing. On samples having the lowest temperature dependence of sheet resistance, the gage factor was measured from ?75°C to 75°C. The measurements were made along the 〈100〉 direction for phosphorus doped samples, and along the 〈110〉 direction for aluminum doped samples for all four fluences. The gage factor and its temperature dependence for these crystal orientations are not drastically affected by the crystal damage. These results are interpreted in terms of a model previously developed to explain the effect of electron damage on the temperature dependence of the resistivity and the piezoresistance of silicon.  相似文献   

15.
Results obtained in a study of spectrometric characteristics of arrays of four detectors based on 4H-SiC ion-implantation-doped p +-n junctions in the temperature range 25–140 °C are reported for the first time. The junctions were fabricated by ion implantation of aluminum into epitaxial 4H-SiC layers of thickness ≤45 μm, grown by chemical vapor deposition with uncompensated donor concentration N d ? N a = (4–6) × 1014 cm?3. The structural features of the ion-implantation-doped p +-layers were studied by secondary-ion mass spectrometry, transmission electron microscopy, and Rutherford backscattering spectroscopy in the channeling mode. Parameters of the diode arrays were determined by testing in air with natural-decay alpha particles with an energy of 3.76 MeV. The previously obtained data for similar single detectors were experimentally confirmed: the basic characteristics of the detector arrays, the charge collection efficiency and energy resolution, are improved as the working temperature increases.  相似文献   

16.
Multiple implantation of oxygen ions with energies of 0.1–1.5 MeV at doses of 7 × 1013?2 × 1014 cm?2 and subsequent annealing in a chlorine-containing atmosphere at 900°C for 4 h give rise to dislocation-related luminescence in p-Si. A pn conductivity-type conversion is also observed in this case in the surface layer of Si, which indicates that electrically active donor centers are formed in the process. Preliminary heat treatment of wafers covered with an erbium-doped film of tetraethoxysilane (TEOS) in argon at 1250°C for 1 h does not preclude the appearance of dislocation-related luminescence, but affects the parameters of the dislocation-related lines (peak positions and intensities).  相似文献   

17.
Type conversion of p-GaN by direct Si+ ion implantation and subsequent annealing was demonstrated by the fabrication of lateral Schottky diodes. The Si+ activation percentage was measured as a function of annealing time (30–300 sec) and temperature (1,000–1,200°C), reaching a maximum of ∼30% for 1,200°C, 2-min anneals. The resulting n-type carrier concentration was 1.1×1018 cm−3 for a moderate Si+ ion dose of ∼2×1014 cm−2. The lateral Schottky diodes displayed a negative temperature coefficient of −0.15 V·K for reverse breakdown voltage.  相似文献   

18.
The results of studying the electrical properties and optical-absorption spectra of InP irradiated with fast neutrons (E > 0.1 MeV and Df.n ≤ 1019 cm?2) and full-spectrum reactor neutrons (Dth.n ≤ 2.1 × 1019 cm?2; the ratio of the fluxes was ?th.n/?f.n ≈ 1) are reported. The variations in these properties resulting from postirradiation annealing at temperatures as high as 900°C are also studied. The results of the optical studies indicate that, in InP irradiated heavily with neutrons, free charge carriers appear only after annealing at temperatures higher than 500°C. The efficiency of neutron-initiated transmutational doping and the quality of transmutationdoped InP are assessed.  相似文献   

19.
Photoluminescence (PL) spectra and PL excitation spectra were recorded at room temperature from SiO2 films implanted with Ge+ ions and annealed at temperature T a =450–1100°C under hydrostatic pressure P=12 kbar. The emergence of features in the violet and green bands of the PL and PL excitation spectra correlates with the formation of hydrostatically strained Ge nanocrystals. The shift of the PL bands to higher energies, which occurs as the annealing temperature is raised to T a ≥800°C, can be attributed to a shift of the energy levels related to the radiative recombination centers, which is caused by the increasing deformation potential. The observed PL is accounted for by the enhanced probability of direct radiative transitions in Ge nanocrystals with an X-like conduction band.  相似文献   

20.
We investigated limiting factors of carrier lifetimes and their enhancement by post-growth processes in lightly-doped p-type 4H-SiC epitaxial layers (N A ~ 2 × 1014 cm?3). We focused on bulk recombination, surface recombination, and interface recombination at the epilayer/substrate, respectively. The carrier lifetime of 2.8 μs in an as-grown epilayer was improved to 10 μs by the combination of VC-elimination processes and hydrogen annealing. By employing surface passivation with deposited SiO2 followed by POCl3 annealing, a long carrier lifetime of 16 μs was obtained in an oxidized epilayer. By investigating carrier lifetimes in a self-standing p-type epilayer, it was revealed that the interface recombination at the epilayer/substrate was smaller than the surface recombination on a bare surface. We found that the VC-elimination process, hydrogen annealing, and surface passivation are all important for improving carrier lifetimes in lightly-doped p-type epilayers.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号