首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The performance of novolac–diazonaphthoquinone-based positive-working resists is discussed in terms of the molecular weight distributions and microstructures of the novolac resins and the structural variations in the photoactive dissolution inhibitor. Modelling studies leading to recent improvements allosing the delineation of 0.35 μm line and space pattens by ensuring a focal depth of 105 μm are outlined. Consideration is also given to the new problems such as pivotal shift and halation that arise in the application of high-resolution photolithography using novolac–diazonaphthoquinone resists.  相似文献   

2.
This paper describes a multilayer resist system using polysiloxane resists, for use in submicron lithography. The application of this kind of resist to electron beam lithography in a bi-layer system and to direct stepping on wafers in a tri-level resist system has been demonstrated. Steep profile patterns with high-resolution and high-aspect ratio can be obtained with reactive ionic etching techniques associated with conventional lithography techniques.  相似文献   

3.
Intensity-independent photobleaching (reciprocity failure) was observed when a film of novolak/diazoquinone photoresist was exposed at 364 and 351 nm in the intensity range 1 to 400 mW/cm2. It was found that a dark reaction can be observed for short times after turning off the light during a bleach. It is postulated that these effects are a result of the absorbance of the intermediate ketene, which may decay on the same time scale as the exposure time and hence introduce a nonphotochemical time-dependent bleaching that couples with the photochemical bleaching to give reciprocity failure. The magnitude of the reciprocity failure is sufficient to have a significant detrimental effect on resolution and process control. Thus it is important not only to reduce the absorbance of the final product but also that of the intermediates  相似文献   

4.
《Microelectronic Engineering》2007,84(5-8):1062-1065
The influence of resist molecular weight as well as its architecture becomes important in lithographic scales aiming at sub-45 nm resolution. The effects of processing and resist molecular geometry on line-edge roughness (LER) should be well understood in order to meet the ITRS lithographic specifications. In this work, two-dimensional simulations and comparisons of the LER between films of molecular resists and resist films made of oligomers with the same molecular diameter, showed that in all cases molecular resists have lower LER. Explanations of this behavior are proposed based on molecular architecture and the free volume distribution in the resist film. It was also found that the size of free volume regions is less in molecular resist than in the corresponding oligomers.  相似文献   

5.
极紫外光刻是微电子领域有望用于下一代线宽为22nm及以下节点的商用投影光刻技术,光刻材料的性能与工艺是其关键技术之一。为我国开展极紫外光刻材料研究提供参考,综述了最近几年来文献报道的研究成果,介绍了极紫外光刻技术发展历程、现状、光刻特点及对光刻材料的基本要求,总结了极紫外光刻材料的研究领域和具体分类,着重阐述了主要光刻材料的组成、光刻原理,光刻性能所达到的水平和存在的主要问题,最后探讨了极紫外光刻材料未来的主要研究方向。  相似文献   

6.
The optimisation of the lithographic performance of negative-working electron beam resists is developed through consideration of the radiation chemistry of crosslinking of representative materials, typically epoxy-functionalised polymers and polystyrene and its derivatives. Similarly, the lithographic behaviour of positive-working systems based on radiation-induced chain scission reactions is discussed with reference to acrylate and methacrylate polymers and polysulphones. The difficulties encountered in devising desirable working systems based on novolacs are considered and contrasted with the promise offered by recent developments arising from the extension of chemical amplification techniques to the electron beam lithographic domain.  相似文献   

7.
《Microelectronic Engineering》2007,84(5-8):967-972
We have developed a new resist material, named NILTM105, for the purpose of ultraviolet curing nanoimprint lithography. Its capability for micro- and nano-scale features patterning has been experimentally analyzed and compared to two other commercially available UV-NIL resists (AMONIL-MMS4 proposed by AMO GmbH, Germany and PAK-01 from Toyo Gosei, Japan). Using 3D-atomic force microscopy, cross section scanning electron microscopy, CD-SEM and ellipsometric measurements, the suitability of this resist for a reliable replication of the mold features was confirmed. Besides, detailed study of the residual thickness and features height variation as a function of pattern size and density has proven that the three investigated resists can flow over distances on the millimeter range. Finally, the etch resistance of the home-developed material was characterized under several plasmas conditions. It was found out that the etch rates values are compatible with the use of this resist as a masking layer during transfer steps.  相似文献   

8.
An exposure model for electron-sensitive resists   总被引:1,自引:0,他引:1  
We present a mathematical model for the exposure of electron-sensitive resists where an electron beam is incident normal to a substrate coated with a thin layer of resist. We include both the scattering of the incident electrons as they penetrate the resist and the electrons backscattered from within the resist and from the substrate. The calculations yield contours of equal absorbed energy density, and these are interpreted as the contours which bound the resist after development. The absorbed energy density is found as the sum, for all electrons, of the product of the energy absorbed per unit length of trajectory and the flux density of electrons at the point in question. We first calculate the absorbed energy density for an electron beam of vanishingly small cross section (an incident delta function) and then convolve that result with a beam of Gaussian current-density distribution to obtain the reSult for a single beam location. For poly(methyl methacrylate) resist, we study the achievable dot resolution, as a function of the incident charge, for various incident energies-and substrates. Since our main interest is in computer-controlled resist exposures in which patterns are generated as a succession of dots, we calculate the absorbed energy density contours for a line generated in that manner. Detailed comparison is made with the experimental results of Wolf et al., by fitting a single point on one contour at one beam energy to account for the unknown developer sensitivity. The resulting contours predict the undercutting effect experimentally observed for the 5-20-keV beam energies studied. The developed shape and linewidth are found to be nonlinear functions of the incident charge per unit length. Experimental data for the linewidth at 20 keV are presented and compared with theory.  相似文献   

9.
New negative photoresists, called MRS-type resists, are successfully applied to deep-UV 1:1 projection printing and 365 nm 10:1 reduction projection printing. The MRS-type resists are characterized by intense absorption of exposure light and absence of swelling in aqueous developer solutions. They resolve steep profile submicron images in a 1.0 μ thick film. They are not adversely affected by reflected light from water surfaces. In order to use MRS-type resists with broader development latitude, optimizing the extent of light absorption is important because the resist profiles strongly depend on development conditions due to increasing solubility towards the resist bottom.  相似文献   

10.
Chemically amplified resists based on methyl methacrylate ter-copolymers with methacrylic acid and isobornyl (met)acrylates, experiencing hydrolysis in the presence of photogenerated acid, responsible for pattern generation in resists, when they are exposed to the deep ultraviolet (254 nm), extreme ultraviolet (13.5 nm), and electron beam, are studied. The influence of the polymeric matrix, photoacid generator, and exposure conditions on quality of the chemically amplified image is evaluated.  相似文献   

11.
New inorganic electron-beam resists have been developed on the basis of the aqueous chemistries of Zr and Hf. With a 30-keV electron-beam, resist sensitivities as low as 8 μC/cm2 have been realized. At higher exposure doses, 15-nm lines and 36-nm dense features have been written with line-width roughness near 2 nm. In reactive-plasma etching, the resists exhibit etch resistance >7× that of thermal SiO2.  相似文献   

12.
Continued advances in mocroelectronic device fabrication are trying the limits of conventional lithographic techniques. In particular, conventional photoresist materials are not appropriate for use with the new technologies that will be necessary for sub-0.5 μm lithography. One approach to the desing of new resist chemistries involves the concept of chemical amplification, where one photochemical event can lead to a cascade of subsequent reactions that effect a change in solubility of the parent material. The most well-known chemically amplified resists utilise photchemically generated acid to catalyse crosslinking or deprotection reactions. This paper reviews the acid generator, crosslinking, deprotection and depolymerisation chemistries that have been evaluated for chemical amplification resist processes. Additionaly, process characteristics and resist performance relative to the process environment are addressed.  相似文献   

13.
A series of polymers derived from vinylether-maleic anhydride copolymers were prepared and their electron sensitivities measured. One of the most sensitive polymers prepared was the allyl half-ester of an octadecylvinylether maleic anhydride copolymer (VL-100). This material was stable, formed excellent films, and was insensitive to UV and visible light with λ > 2000 Å. The sensitivity to electron irradiation was found to be 4 × 10-8C/cm2at 9 keV compared to 5 × 10-7for KTFR under identical conditions. The evaluation of this material as an electron resist as well as sensitivity data for numerous derivatives is reported.  相似文献   

14.
In this paper, we have been using polymer and thermally curable monomer resists in a full 8 in. wafer thermal nanoimprint lithography process. Using exactly the same imprinting conditions, we observed that a monomer solution provides a much larger resist redistribution than a polymer resist. Imprinting Fresnel zone plates, composed of micro- and nano-meter features, was possible only with the monomer resist. In order to reduce the shrinkage ratio of the monomer resists, acrylate–silsesquioxane materials were synthesised. With a simple diffusion-like model, we could extract a mean free path of 1.1 mm for the monomer resist, while a polymer flows only on distances below 10 μm in the same conditions.  相似文献   

15.
Experiments have been performed to determine the accuracy of the proximity function model in predicting feature dimensions for two positive electron resists. The model parameters were determined from the linewidth versus dose relationship for single beam scans. A small systematic ‘overdevelopment’ error was found in the calculated patterns, which is attributed to the limitations of the model assumptions.  相似文献   

16.
化学放大胶(ChemicallyAmplifiedResists,简称CARs)是下一代光刻技术中极具发展潜力的一种光学记录介质。介绍了化学放大胶在电子束光刻技术中图形制作工艺的关键步骤以及目前常用的几种化学放大胶,分析了将化学放大胶用于电子束曝光工艺应注意的问题和它将来的发展趋势。  相似文献   

17.
《Microelectronic Engineering》2007,84(5-8):1066-1070
Molecular resists, such as fullerenes, are of significant interest for next generation lithographies. They utilize small carbon rich molecules, giving the potential for higher resolution and etch durability, together with lower line width roughness than conventional polymeric resists. The main problem with such materials has historically been low sensitivity, but with the successful implementation of chemical amplification schemes for several of the molecular resist families this is becoming less of a concern. Aside from sensitivity the other main obstacle has been the difficulty of preparing good quality thin films of non-polymeric materials. Here we present a study of pinhole defect density in fullerene films as a function of substrate cleanliness, post-application bake, and incorporation of chemical amplification components. Ultrathin (sub 30 nm) films of the previously studied fullerene resist MF03-01, and the polymeric resist PMMA were prepared on hydrogen terminated silicon by spin coating and the density of pinhole defects in the films was studied using atomic force microscopy. It was seen that pinhole density was strongly affected by the quality of the substrates, with the lowest densities found on films spun on freshly cleaned substrates. Aging of the film subsequent to spin coating was seen to have less effect than similar aging of the substrate prior to spin coating. Additionally, the use of a post-application bake significantly degraded the quality of the films. The addition of an epoxy crosslinker for chemical amplification was found to reduce defect density to very low levels.  相似文献   

18.
Free volume greatly influences the lithographic performance of chemically amplified systems. This work studies its generation and variations during the various steps of the lithographic process, i. e. from film formation to post-exposure bake.  相似文献   

19.
The criteria for selecting effective solvent systems for wet development of polymer resist materials are explored. Methods of estimating the polymer–solvent interactions are described, including the use of solubility parameters and the Flory–huggins interaction parameter. Methods of achieving θ-conditions, which represent minimal solvent–precipitant mixtures, or the more novel approach of establishing cosolvent systems are described. The rate dissolution has also bee identified as a controlling parameter. Some optical and gravimetric methods for measuring dissolution rates for polymer films are outlined. Examples of the influence of these factors on the sensitivity and contrast of resists such as poly(methylmethacrylate) and poly(p-methyl styrene-stat-chloromethyl styrene) are described.  相似文献   

20.
Two novel monomers, containing diazoketo functional groups, cholic acid 3-diazo-3-ethoxycarbonyl-2-oxo-propyl ester methacrylate (CDEOPE-MA) and 2-diazo-6-hydroxy-3-oxo-hexanoic acid ethyl ester methacrylate (DHOHEE-MA), were designed and synthesized for biomolecular patterning applications. The polymers were synthesized by radical copolymerization of CDEOPE-MA, DHOHEE-MA, and γ-butyrolacton-2-yl methacrylate. The patternability of the synthesized photoresist polymers were characterized by their lithographic evaluation. Introduction of cholate-monomer (CDEOPE-MA) seems to enhance the film formability as well as film stability in aqueous medium. DNA oligonucleotide patterning study was performed to demonstrate the applicability of this system for biomolecular patterning.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号