首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Long-channel Ge pMOSFETs and nMOSFETs were fabricated with high-kappa CeO2/HfO2/TiN gate stacks. CeO2 was found to provide effective passivation of the Ge surface, with low diode surface leakage currents. The pMOSFETs showed a large I ON/IOFF ratio of 106, a subthreshold slope of 107 mV/dec, and a peak mobility of approximately 90 cm2 /Vmiddots at 0.25 MV/cm. The nMOSFET performance was compromised by poor junction formation and demonstrated a peak mobility of only ~3 cm2/Vmiddots but did show an encouraging ION/I OFF ratio of 105 and a subthreshold slope of 85 mV/dec  相似文献   

2.
The low-frequency noise of pMOSFETs fabricated in epitaxial germanium-on-silicon substrates is studied. The gate stack consists of a TiN/TaN metal gate on top of a 1.3-nm equivalent oxide thickness HfO2/SiO2 gate dielectric bilayer. The latter is grown by chemical oxidation of a thin epitaxial silicon film deposited to passivate the germanium surface. It is shown that the spectrum is of the 1/fgamma type, which obeys number fluctuations for intermediate gate voltage overdrives. A correlation between the low-field mobility and the oxide trap density derived from the 1/f noise magnitude and the interface trap density obtained from charge pumping is reported and explained by considering remote Coulomb scattering  相似文献   

3.
In this letter, the tuning of a nickel fully germanided metal gate effective workfunction via a hyperthin yttrium (Y) interlayer at the bottom of the metal electrode was demonstrated on both SiO2 and HfO2. By varying the Y interlayer thickness from 0 to 9.6 nm, a full range of workfunction tuning from 5.11 to 3.65 eV has been achieved on NiGeY/SiO2 stacks. It was also found that the chemical potential of the material that is adjacent to the gate electrode/gate insulator plays an important role in the determination of the effective workfunction. This work-function tuning window was observed to decrease to a range of 5.08-4.25 eV on NiGeY/HfO2 stacks.  相似文献   

4.
Novel yttrium- and terbium-based interlayers (YIL and TbIL, respectively) on SiO2 and HfO2 gate dielectrics were employed for NMOS work function Phim modulation of undoped nickel fully silicided (Ni-FUSI) gate. Bandedge Ni-FUSI gate Phim of ~4.11 and ~4.07 eV was obtained by insertion of ultrathin (~1 nm) YIL and TbIL, respectively, on the SiO2 gate dielectric in a gate-first process (with 1000 degC anneal). NiSi Phim on SiO2 could also be tuned between the Si midgap and the conduction bandedge EC by varying the interlayer thickness. The achievement of NiSi Phim around 4.28 eV on the HfO2 gate dielectric using interlayer insertion makes this an attractive Phim modulation technique for Ni-FUSI gates on SiO2 and high-k dielectrics  相似文献   

5.
In this letter, high-performance low-temperature poly-Si p-channel thin-film transistor with metal-induced lateral- crystallization (MILC) channel layer and TaN/HfO2 gate stack is demonstrated for the first time. The devices of low threshold voltage VTH ~ 0.095 V, excellent subthreshold swing S.S. ~83 mV/dec, and high field-effect mobility muFE ~ 240 cm2/V ldr s are achieved without any defect passivation methods. These significant improvements are due to the MILC channel film and the very high gate-capacitance density provided by HfO2 gate dielectric with the effective oxide thickness of 5.12 nm.  相似文献   

6.
Spatial Distributions of Trapping Centers in HfO2/SiO2 Gate Stack   总被引:1,自引:0,他引:1  
An analysis methodology for charge pumping (CP) measurements was developed and applied to extract spatial distributions of traps in SiO 2/HfO2 gate stacks. This analysis indicates that the traps accessible by CP measurements in the frequency range down to a few kilohertz are located primarily within the SiO2 layer and HfO2/SiO2 interface region. The trap density in the SiO2 layer increases closer to the high-kappa dielectric, while the trap spatial profile as a function of the distance from the high-kappa film was found to be dependent on high-kappa film characteristics. These results point to interactions with the high-kappa dielectric as a cause of trap generation in the interfacial SiO2 layer  相似文献   

7.
A novel technique to control the nitrogen profile in HfO2 gate dielectric was developed using a reactive sputtering method. The incorporation of nitrogen in the upper layer of HfO2 was achieved by sputter depositing a thin HfxNy layer on HfO2, followed by reoxidation. This technique resulted in an improved output characteristics compared to the control sample. Leakage current density was significantly reduced by two orders of magnitude. The thermal stability in terms of structural and electrical properties was also enhanced, indicating that the nitrogen-doped process is effective in preventing oxygen diffusion through HfO2. Boron penetration immunity was also improved by nitrogen-incorporation. It is concluded that the nitrogen-incorporation process is a promising technique to obtain high-k dielectric with thin equivalent oxide thickness and good interfacial quality  相似文献   

8.
Low-frequency noise was characterized in Si0.7Ge0.3 surface channel pMOSFETs with ALD Al2O3/HfO2/Al2O3 stacks as gate dielectrics. The influences of surface treatment prior to ALD processing and thickness of the Al2O3 layer at the channel interface were investigated. The noise was of the 1/f type and could be modeled as a sum of a Hooge mobility fluctuation noise component and a number fluctuation noise component. Mobility fluctuation noise dominated the 1/f noise in strong inversion, but the number fluctuation noise component, mainly originating from traps in HfO2, also contributed closer to threshold and in weak inversion. The number fluctuation noise component was negligibly small in a device with a 2 nm thick Al2O3 layer at the SiGe channel interface, which reduced the average 1/f noise by a factor of two and decreased the device-to-device variations.  相似文献   

9.
李永亮  徐秋霞 《半导体学报》2011,32(7):076001-5
研究了先进CMOS器件中poly-Si/TaN/HfSiON栅结构的干法刻蚀工艺。对于poly-Si/TaN/HfSiON栅结构的刻蚀,我们采用的策略是对栅叠层中的每一层都进行高选择比地、陡直地刻蚀。首先,对于栅结构中poly-Si的刻蚀,开发了一种三步的等离子体刻蚀工艺,不仅得到了陡直的poly-Si刻蚀剖面而且该刻蚀可以可靠地停止在TaN金属栅上。然后,为了得到陡直的TaN刻蚀剖面,研究了多种BCl3基刻蚀气体对TaN金属栅的刻蚀,发现BCl3/Cl2/O2/Ar等离子体是合适的选择。而且,考虑到Cl2对Si衬底几乎没有选择比,采用优化的BCl3/Cl2/O2/Ar等离子体陡直地刻蚀掉TaN金属栅以后,我们采用BCl3/Ar等离子体刻蚀HfSiON高K介质,改善对Si衬底的选择比。最后,采用这些新的刻蚀工艺,成功地实现了poly-Si/TaN/HfSiON栅结构的刻蚀,该刻蚀不仅得到了陡直的刻蚀剖面且对Si衬底几乎没有损失。  相似文献   

10.
A thermodynamic variational model derived by minimizing the Helmholtz free energy of the MOS device is presented. The model incorporates an anisotropic permittivity tensor and accommodates a correction for quantum-mechanical charge confinement at the dielectric/substrate interface. The energy associated with the fringe field that is adjacent to the oxide is of critical importance in the behavior of small devices. This feature is explicitly included in our model. The model is verified using empirical and technology-computer-aided-design-generated capacitance-voltage data obtained on MOS devices with ZrO2, HfO2, and SiO2 gate insulators. The model includes considerations for an interfacial low-k interface layer between the silicon substrate and the high-k dielectric. This consideration enables the estimation of the equivalent oxide thickness. The significance of sidewall capacitance effects is apparent in our modeling of the threshold voltage (Vth) for MOS capacitors with effective channel length at 30 nm and below. In these devices, a variation in high-k permittivity produces large differences in Vth. This effect is also observed in the variance of Vth, due to dopant fluctuation under the gate.  相似文献   

11.
The electrical characteristics of a novel HfTaON/SiO2 gate stack, which consists of a HfTaON film with a dielectric constant of 23 and a 10-Aring SiO2 interfacial layer, have been investigated for advanced CMOS applications. The HfTaON/SiO2 gate stack provided much lower gate leakage current against SiO2 , good interface properties, excellent transistor characteristics, and superior carrier mobility. Compared to HfON/SiO2, improved thermal stability was also observed in the HfTaON/SiO2 gate stack. Moreover, charge-trapping-induced threshold voltage V th instability was examined for the HfTaON/SiO2 and HfON/SiO2 gate stacks. The HfTaON/SiO2 gate stack exhibited significant suppression of the Vth instability compared to the HfON/SiO2, in particular, for nMOSFETs. The excellent performances observed in the HfTaON/SiO2 gate stack indicate that it has the potential to replace conventional SiO2 or SiON as gate dielectric for advanced CMOS applications  相似文献   

12.
In this paper, we describe a systematic study of the electrical properties of low-temperature-compatible p-channel polycrystalline-silicon thin-film transistors (poly-Si TFTs) using HfO2 and HfSiOx, high-k gate dielectrics. Because of their larger gate capacitance density, the TFTs containing the high-k gate dielectrics exhibited superior device performance in terms of higher Ion/Ioff current ratios, lower subthreshold swings (SSs), and lower threshold voltages (Vth), relative to conventional deposited-SiO2, albeit with slightly higher OFF-state currents. The TFTs incorporating HfSiOx, as the gate dielectric had ca. 1.73 times the mobility (muFE) relative to that of the deposited-SiO2 TFTs; in contrast, the HfO2 TFTs exhibited inferior mobility. We investigated the mechanism for the mobility degradation in these HfO2 TFTs. The immunity of the HfSiOx, TFTs was better than that of the HfO2 TFTs-in terms of their Vth shift, SS degradation, muFE degradation, and drive current deterioration-against negative bias temperature instability stressing. Thus, we believe that HfSiOx, rather than HfO2, is a potential candidate for use as a gate-dielectric material in future high-performance poly-Si TFTs.  相似文献   

13.
Transient charging and discharging of border traps in the dual-layer HfO2/SiO2 high-kappa gate stack have been extensively studied by the low-frequency charge pumping method with various input pulse waveforms. It has been demonstrated that the exchange of charge carriers mainly occurs through the direct tunneling between the Si conduction band states and border traps in the HfO2 high-kappa dielectric within the transient charging and discharging stages in one pulse cycle. Moreover, the transient charging and discharging behaviors could be observed in the time scale of 10-8- 10-4 s and well described by the charge trapping/detrapping model with dispersive capture/emission time constants used in static positive bias stress. Finally, the frequency and voltage dependencies of the border trap area density could also be transformed into the spatial and energetic distribution of border traps as a smoothed 3-D mesh profiling  相似文献   

14.
The nonvolatile memory properties of the partially crystallized HfO2 charge storage layer are investigated using short-channel devices of gate length Lg down to 80 nm. Highly efficient two-bit and four-level device operation is demonstrated by channel hot electron injection programming and hot hole injection erasing for devices of Lg > 170 nm, although the reduction of the memory window is observed for devices of Lg < 170 nm. A memory window of 5.5 V, ten-year retention of Vth clearance larger than 1.5 V between adjacent levels, endurance for 105 programming/erasing cycles, and immunity to programming disturbances are demonstrated. Flash memory with partially crystallized HfO2 shows a larger memory window than HfO2 nanodot memory, assisted by the enhanced electron capture efficiency of an amorphous HfO2 matrix, which is lacking in other types of reported nanodot memory. The scalability, programming speed, Vth control for two-bit and four-level operation, endurance, and retention are also improved, compared with NROM devices that use a Si3N4 trapping layer.  相似文献   

15.
The electrical properties of p- and n-MOS devices fabricated on germanium with metal-organic chemical-vapor-deposition HfO2 as gate dielectric and silicon passivation (SP) as surface treatment are extensively investigated. Surface treatment prior to high-K deposition is critical to achieve small gate leakage currents as well as small equivalent oxide thicknesses. The SP provides improved interface quality compared to the treatment of surface nitridation, particularly for the gate stacks on p-type substrate. Both Ge p- and n-MOSFETs with HfO2 gate dielectrics are demonstrated with SP. The measured hole mobility is 82% higher than that of the universal SiO2/Si system at high electric field (~0.6 MV/cm), and about 61% improvement in peak electron mobility of Ge n-channel MOSFET over the CVD HfO2 /Si system was achieved. Finally, bias temperature-instability (BTI) degradation of Ge MOSFETs is characterized in comparison with the silicon control devices. Less negative BTI degradation is observed in the Ge SP p-MOSFET than the silicon control devices due to the larger valence-band offset, while larger positive BTI degradation in the Ge SP n-MOSFET than the silicon control is characterized probably due to the low-processing temperature during the device fabrication  相似文献   

16.
This letter demonstrates reduction in effective work function of tantalum-nitride (TaN) metal gate with erbium-oxide-doped hafnium oxide. We report that TaN effective metal-gate work function can be tuned from Si midgap to the conduction band to meet the work-function requirement of NMOSFETs by incorporating ErO in HfO2 with an equivalent oxide thickness as low as 1.15 nm. Several other lanthanide-oxide doped hafnium oxides show similar characteristics.  相似文献   

17.
A novel dry etching process of a poly-Si/TaN/HfSiON gate stack for advanced complementary metal-oxide-semiconductor(CMOS) devices is investigated.Our strategy to process a poly-Si/TaN/HfSiON gate stack is that each layer of gate stack is selectively etched with a vertical profile.First,a three-step plasma etching process is developed to get a vertical poly-Si profile and a reliable etch-stop on a TaN metal gate.Then different BCl3-based plasmas are applied to etch the TaN metal gate and find that BCl3/Cl2/O2/Ar plasma is a suitable choice to get a vertical TaN profile.Moreover,considering that Cl2 almost has no selectivity to Si substrate, BCl3/Ar plasma is applied to etch HfSiON dielectric to improve the selectivity to Si substrate after the TaN metal gate is vertically etched off by the optimized BCl3/Cl2/O2/Ar plasma.Finally,we have succeeded in etching a poly-Si/TaN/HfSiON stack with a vertical profile and almost no Si loss utilizing these new etching technologies.  相似文献   

18.
In this paper, the current transportation mechanism of HfO2 gate dielectrics with a TaN metal gate and silicon surface fluorine implantation is investigated. Based on the experimental results of the temperature dependence of gate leakage current and Fowler-Nordheim tunneling characteristics at 77 K, we have extracted the current transport mechanisms and energy band diagrams for TaN/HfO2/IL/Si structures with fluorine incorporation, respectively. In particular, we have obtained the following physical quantities: 1) fluorinated and as-deposited interfacial layer (IL)/Si barrier heights (or conduction band offsets) at 3.2 and 2.7 eV; 2) TaN/fluorinated and as-deposited HfO2 barrier heights at 2.6 and 1.9 eV; and 3) effective trapping levels at 1.25 eV (under both gate and substrate injections) below the HfOF conduction band and at 1.04 eV (under gate injection) and 1.11 eV (under substrate injection) below the HfO2 conduction band, which contributes to Frenkel-Poole conduction.  相似文献   

19.
The effects of the diffusion control technique by inserting physical vapor deposition (PVD)-TiN film between poly-Si and CVD-TiN films on the properties of p-MISFETs using poly-Si/TiN/HfSiON gate stacks have been studied. This insertion was effective in suppressing the diffusion of Si from poly-Si to HfSiON and was able to reduce the Vth value by 0.12 V while keeping the equivalent oxide thickness and S value constant, when the thicknesses of the PVD and CVD-TiN films were 10 and 5 nm, respectively. Although too much ion implantation of fluorine into the substrate deteriorates S value and ION, it was verified that this diffusion control technique, in conjunction with a moderate substrate fluorine implantation, provided a reduction of Vth in pMIS without a deterioration of ION.  相似文献   

20.
The gate leakage current of HfO2 MOSFETs exhibits the power law characteristics after soft breakdown (BD) and the linear behavior after hard BD. Fast transient charge-trapping effect (FTCTE) shifts the inverter transfer characteristics but does not affect the high and low output voltages. The ring oscillator remains functional after gate BD. The BD position near the drain end of the n-channel transistor increases the noise figure (NF) significantly, whereas FTCTE has minor impact on the NF of the folded cascode low-noise amplifier  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号