首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
介绍一种利用矢量旋转的CORDIC(Coordination Rotation DIgital Computer)算法,相比较传统NCO采用的查找表算法,证明查找表算法运算速度已不适用于高速宽带数字接收机以及扩频通信的应用,为了实现高速正交数字混频器中的数控振荡器(NCO),采用CORDIC算法产生正余弦信号的实现过程,给出采用ALTERA的stratix系列FPGA中设计数控振荡器的顶层设计结构以及仿真结果,证明基于此算法采用FPGA的可行性设计.  相似文献   

2.
本文鉴于数字锁相环在实际应用中对信号频率的准确度和稳定度有较为严格的要求,设计一种应用于数字锁相环的数控振荡器(NCO,Number Controlled Oscillator)。基于直接数字频率合成(DDS)技术,介绍NCO工作原理,基于FPGA实现NCO,关键是相位累加器与波形存储器两个模块的设计,并利用QUARTUS对设计结果进行编译仿真。对NCO杂散信号进行频谱分析,并提出解决方法。该设计有效抑制杂散,修改灵活,便于调试,在数字锁相环设计中可有广泛应用。  相似文献   

3.
基于CORDIC算法的高速直接数字频率合成技术的ASIC实现   总被引:1,自引:0,他引:1  
张进  苏凯雄 《现代电子技术》2005,28(12):103-105
文章主要分析了如何利用基于矢量旋转的CORDIC(Coordination Rotation Digital Computer)算法实现高速高精度的直接数字频率合成技术(DDS)。首先推导了CORDIC算法产生正余弦信号的实现过程,然后给出了在FPGA中设计数控振荡器(NCO)的顶层电路结构,并根据算法特点在设计中引入流水线结构设计。  相似文献   

4.
基于FPGA的正交数控振荡器(NCO)的设计与实现   总被引:2,自引:0,他引:2  
张阿宁  赵萍 《电子设计工程》2011,19(17):149-152
在研究数控振荡器NCO工作原理的基础上,通过分析对比几种不同的NCO设计方法,采用了算法简单、节省资源的基于ROM查找表的设计方法。针对正交数控振荡器NCO的主要部件正余弦存储表、可变模计数器进行了算法设计和电路设计,并在Altera公司的FPGA上进行了验证,波形仿真结果表明了电路设计的正确性。采用查找表的方法可以有效提高系统功能的可扩展性和系统的可集成性,使得NCO功能模块可以通过配置存储表、频率控制字来满足多种应用场合下的NCO设计需要,可以广泛地应用于各种现代通信系统中。  相似文献   

5.
王建军 《现代电子技术》2010,33(3):102-104,108
在FPGA中用查找表技术实现数控振荡器是软件无线电研究的重要内容。累加器步长、累加器控制字等参数决定NCOs的性能,频谱纯度是衡量NCOs的关键指标。借助Simulink仿真平台分析得出相位截断对频谱纯度的影响远大于幅度值的量化。提出相位加抖技术及三种实现提高无杂散动态范围的方法。由仿真分析看出,通过恰当的相位加抖可以极大地提高SFDR。  相似文献   

6.
电流舵数模转换器(DAC)的动态性能受电流源失配的影响。本文采用6+10的分段方式,分析比较了几种动态元件匹配(DEM)算法,采用了一种分段温度数据权重平均(Segmented Thermo Data-Weighted Average,STDWA)技术,并将其应用于高6位的温度计编码中,消除对输入编码的依赖,弱化电流源失配的影响,以优化动态性能。基于TSMC 55nm工艺,设计实现了一种16位2.5GHz的电流舵DAC,测试结果显示,在2.5GHz采样率和94.15MHz输入信号频率条件下,无杂散动态范围(SFDR)提升了6dB。  相似文献   

7.
基于CORDIC算法的NCO   总被引:2,自引:0,他引:2  
为了提高基于CORDIC算法NCO的无杂散动态范围,提出采用相位映射和相位抖动技术来提高无杂散动态范围.SIMULINK仿真结果表明改进后的NCO无杂散动态范围提高近10dB,充分说明了该方法的可行性.  相似文献   

8.
文章首先讲述了数字下变频的核心原理,然后利用矩阵实验室(Matrix Laboratory,MATLAB)对数字下变频理论进行了规范的仿真,阐述了一款仿XILINX公司的国产FPGA芯片实现数字下变频的设计流程,最后利用verilog语言编程实现数字下变频功能,并进行了验证。  相似文献   

9.
数控振荡器在数字信号处理中有着广泛的应用。本文研究并实现了基于CORDIC算法的流水线型数控振荡器。仿真和验证结果表明,该方法较之查找表法精度高,且结构简单、耗费资源少,非常易于FPGA实现。  相似文献   

10.
NCO(数控振荡器)的目标是产生频率可变的正弦波样本,NCO采用全数字技术,具有分辨率高、频率转换时间快、相位噪声低等特点,将其应用于电子设备中可以大大简化系统、降低成本。本文首先探讨了NCO的工作原理及其核心组成部件,其次介绍了在FPGA中设计NCO的两种方法——基于verilog硬件描述语言的实现方法和基于DSP Builder模型的实现方法,最后使用Modelsim进行仿真,仿真结果表明,此两种方法都能实现NCO,但利用DSP Builder搭建模型进行设计更为简单、易用,并且设计者甚至可以在不懂硬件描述语言及其设计流程的情况下进行DSP应用系统的FP-GA开发。  相似文献   

11.
为了实现简单且高效的无刷直流电机(BLDC)驱动系统,本文提出了一种简单新型的基于FPGA的数字脉冲宽度调制(PWM)控制器的模型和匹配的控制算法,该控制器将梯形磁通分布的BLDC电机看作是一个数字系统,通过低功率和高功率的交替使用进行速度调节,非常便于设计实现。此外,提出的设计只使用直流环节的一个电流传感器,减少了成本和硬件的复杂性。并通过模拟实验对提出的控制方法进行了证实,结果显示提出方法的最大误差保持低于5%。因此,这种控制技术非常适合不需要高精度的应用。  相似文献   

12.
介绍了一种用查表法实现数控振荡器的专用集成电路设计方法,主要部件分为频率控制字寄存器、相位控制字寄存器、累加器、加法器、锁存器等。该电路具有频率分辨率高、频率变化速度快、相位可连续线性变化和生成的正/余弦信号正交特性好等特点,波形发生器存储量大、读取速度快。采用正向全定制设计存储器,嵌入片内集成,并引入流水线结构,设计出高速、高精度的数控振荡器。电路结构简单,可靠性高,该设计采用0.5μm单层多晶三层铝的CMOS工艺成功加工,在数控振荡器的传统设计方法上提高了电路精度和频率。  相似文献   

13.
基于FPGA和SRAM的数控振荡器的设计与实现   总被引:5,自引:0,他引:5  
介绍数控振荡器的工作原理,重点阐述用现场可编程门阵列(FPGA)和静态随机存储器(SRAM)实现数控振荡器的方法,同时给出采用此结构设计的数控振荡器的特点和性能.  相似文献   

14.
基于CPLD器件的数控振荡器(NCO)的设计   总被引:1,自引:0,他引:1  
数字下变频器(DDC)是数字接收机的重要部件,而数字振荡器(NCO)又是影响数字下变频性能的关键器件。利用EDA的方法设计NCO,这种NCO的设计采用直接数字频率合成(DDS)技术,生成累加嚣模块和正(余)弦幅度存储嚣模块,最后实现了系统级综合和仿真。  相似文献   

15.
介绍了MSK信号的优点,并分析了其实现原理,提出一种MSK高性能数字调制器的FPGA实现方案;采用自顶向下的设计思想,将系统分成串/并变换器、差分编码器、数控振荡器、移相器、乘法电路和加法电路等6大模块,重点论述了串/并变换、差分编码、数控振荡器的实现,用原理图输入、VHDL语言设计相结合的多种设计方法,分别实现了各模块的具体设计,并给出了其在QuartusII环境下的仿真结果。结果表明,基于FPGA的MSK调制器,设计简单,便于修改和调试,性能稳定。  相似文献   

16.
基于FPGA的OFDM调制器设计与实现   总被引:1,自引:0,他引:1  
胡同花  周维龙 《电子设计工程》2011,19(15):139-141,144
介绍了OFDM信号的优点,并分析了其实现原理,提出一种OFDM高性能数字调制器的FPGA实现方案;采用自顶向下的设计思想,将系统分成FIR滤波器、数控振荡器、移相器、乘法电路和加法电路等5大模块,重点论述了FIR滤波器、数控振荡器的实现,用原理图输入、VHDL语言设计和调用FIR IP核相结合的多种设计方法,分别实现了...  相似文献   

17.
传统直接数字频率合成器(DDS)较好的输出波形性能需要较大的硬件规模来实现。针对此问题,提出了一种基于余数系统(RNS)的DDS设计方法及硬件实现结构。该方法将截短后的相位进行余数化,实现样点存储空间压缩,并提高运行速度。基于ASIC的实现结果表明,该DDS在相同输出波形性能,特别是高性能输出波形情况下,能大幅度压缩存储空间;在归一化频率分辨率为1/232、查找表量化位宽为16位、输出波形无杂散动态范围(SFDR)为108 dB时,2通道余数化DDS的面积仅为相同条件下传统DDS的6%,其时延也优于传统DDS。  相似文献   

18.
全数字锁相环(ADPLL)在数字通信领域有着极为广泛的应用。由于SoPC技术的发展和FPGA的工作频率与集成度的提高,在1块FPGA芯片上集成整个系统已成为可能。以片内同时嵌入CPU和全数字锁相环为目的,结合现阶段的相关研究成果,简单介绍片内全数字锁相环系统的结构和全数字锁相环的工作原理,详细论述一种可增大全数字锁相环同步范围的数控振荡器的设计方法,并给出部分VHDL设计程序代码和仿真波形。在此数控振荡器的设计中引入翻转触发器的概念,并通过改变翻转触发器的动作特点,使得数控振荡器的输出频率提高,以达到增大全数字锁相环同步范围的目的。  相似文献   

19.
介绍了基于查找表的数控振荡器(NCO)的工作原理和设计步骤,然后在FPGA开发软件SystemGenerator环境中实现了NCO,并给出了仿真结果及其在数字中频模块中的应用。  相似文献   

20.
数字下变频是中频信号处理系统中常用的技术手段,可在保证信号不失真的情况下有效降低采集信号的速率,便于后级处理器执行FFT等信号处理算法。提出一种基于FPGA的数字下变频方法,使用FPGA实现数控振荡器,产生正交的正、余弦样本信号,将采样的数字信号做正交化处理;实现级联积分梳状滤波器,合理抽取采样信号,降低信号频率;最后通过半带滤波器和FIR低通滤波器对整个信道进行整形滤波。充分发挥FPGA硬件并行化处理的优势,实现复杂的信号处理算法的高效执行,测试结果表明该方法可行有效,能够满足实际使用要求。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号