首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Sensitive measurements of the evolution of the resistance of aluminum based metallisation stripes that have been electrically stressed with large current densities show a rather unpredictable initial change followed by a more or less linear increase (less than 1%) for a considerable period of time. Ultimately, breakdown will occur preceded by an erratic behavior of the resistance. This paper reviews existing models for these early changes. It reviews the importance of a generation term for vacancies separate from a divergence of flux term in the explanation of small resistance changes in these models and proposes an alternative view that explains the linear behavior of the resistance change and can also incorporate a variety of initial changes depending on initial mechanical stress conditions. In this model it is assumed that electron wind can create vacancies in the grain boundary regions that are further redistributed because of the electrical current. In this creation process less mobile damage is created, that contributes to the scattering of electrons and thus increases the resistance.  相似文献   

2.
A new approach is presented in order to study the local effects of electromigration in metallisations. So-called localized electrical resistance measurements allow us to detect and localize the formation of individual voids and hillocks in metallisation lines submitted to current and temperature stress. Localized electrical resistance measurements are performed by adding a number of voltage terminals at equal distances on the test structure and by measuring the resistance drift of each line segment. Through the use of such a multi-voltage probe (MVP) test structure, the sensitivity of the electrical resistance to the presence of defects is strongly increased. By comparing the observed electrical resistance drift results with the corresponding SEM micrographs, important conclusions can be drawn concerning the nature of the resistance changes. With finite element calculations a quantitative interpretation is obtained of the observed local resistance changes. An additional feature of localized electrical resistance measurements is the possibility to determine the actual temperature profile present in a test strip at the beginning of a current stress experiment, i.e. prior to failure formation.  相似文献   

3.
4.
This paper provides a critical review on early resistance changes observed during electromigration testing of Al, AlSi and AlSiCu metal lines. At present, high resolution in situ electrical resistance measurements are widely accepted as a valuable tool for the study of electromigration. It will be shown however that the results of these measurements should be interpreted with care. It will indeed be shown that, particularly for Si and/or Cu alloyed metallizations, an early resistance change measurement (during electromigration) can contain information that has no link with the damage induced by the electromigration process. A number of disturbing factors will be identified, which are all induced by temperature driven processes. The first type of disturbance is well known: the immediate change of the measured resistance with temperature steps and fluctuations (thermometer effect). The second type of disturbance is not so widely recognised. It is induced by time dependent changes that are observed over an extended period of time, following a preceding temperature step. Two types of disturbing contributions to resistance changes of this second type are identified, which will be denoted as irreversible changes and reversible changes. The irreversible resistance changes are usually observed during the first annealing of the metal line. The reversible changes are typically detected at the start of an electromigration measurement, when the current stress is switched on. It is shown that both the reversible and irreversible changes are caused by precipitation/dissolution reactions of addition elements. It is also shown that the often observed parabolic initial resistance increase that is detected at the start of electromigration experiments should be attributed to the time dependent, reversible dissolution of the addition element(s). Comparable experiments, executed however at a much reduced current level so that no Joule heating takes place, and hence no reversible processes are initiated, show that the kinetics of the purely electron-wind induced resistance changes are completely different: instead of a parabolic initial increase, an incubation time can be observed during the first stage of the measurement.  相似文献   

5.
A temperature-ramp technique is presented that enables determination of the kinetic parameters for electromigration processes. With this method, pre-exponentials and activation energies can be measured in a single experiment requiring a few hours. The technique is applicable to both practical and fundamental studies of electromigration. For example, in terms of the former, reliability factors for conductor lifetimes can be readily determined. As far as the latter, new conductor compositions can be rapidly screened to ascertain their electromigration behavior.To demonstrate the application of the method, Temperature-ramp Resistance Analysis to Characterize Electromigration (TRACE) has been applied to thin-film aluminum conductors. Results have yielded activation energies in agreement with literature values. Furthermore, TRACE results have been used, along with resistivity results from the literature, to determine the current density effect on the pre-exponential factor. The value of the current density exponent thus determined is in accord with the range reported in the literature for Mean Time to Failure (MTF) experiments.  相似文献   

6.
A diversity of physico-chemical, thermally activated phenomena, whose rates are described in the general form A exp (?QkT), are found to exhibit a compensation effect. For a series of similar processes, when compensation exists, A and Q vary sympathetically; i.e. increases in Q are accompanied by increases in A. Temperature-ramp Resistance Analysis to Characterize Electromigration (TRACE) was applied to a series of aluminum and aluminum-alloy thin film conductors, providing the kinetic parameters for each conductor in one experiment. These data were tested for the possibility of a compensation effect, and a correlation was found between in A and Q. The existence of a compensation effect implies that there is a single temperature (isokinetic temperature) at which the electromigration rate is nearly the same for all the conductors tested. To utilize kinetic parameters determined by TRACE experiments in the comparison of electromigration lifetimes, a “time to failure” for each stripe is calculated at several temperatures spanning the isokinetic temperature. At each temperature, the generated distribution of “times to failure” is log-normal. The standard deviation of the distribution is a function of temperature with a minimum at the isokinetic temperature. The implication of these results with respect to extrapolation of mean time to failure data is discussed.  相似文献   

7.
Modeling of stress and electromigration at the microscopic level, in confined interconnect metallic lines with tungsten studs, can very well account for the resistance behaviour in time. The resistance change at saturation for a metallic line with blocking boundaries at both ends can be related, according to the model, to threshold product (jL)c found by Blech [1].  相似文献   

8.
A new test structure has been designed in order to perform accurate early resistance change measurements in metal lines submitted to high current stress. This test structure integrates both advantages of the so-called “absolute” and “bridge” techniques, resulting in accurate resistance measurements with a high resolution for both the current-stressed and reference strip. Due to the improved measurement configuration, the aging kinetics of a metal line under current stress can be studied in more detail.  相似文献   

9.
Detailed results and analysis are given of several electrical parameters measured, at room temperature, at intervals during electromigration stress of A1 4% Cu specimens. The trends of the resistance, second harmonic and electrical noise changes are good indicators of the degradation until near the end of the life when the specimen becomes very variable in its properties.  相似文献   

10.
Resistance monitoring is a traditional method to investigate electromigration failure. It is important to understand how much information can be extracted from the data generated by these experiments. To this end, precision resistance measurements were included as part of accelerated electromigration tests performed inside of a high voltage scanning electron microscope (HVSEM). Twenty-two passivated Al interconnects were tested at 30 mA/μm2 and at two temperatures, half at 212°C and half at 269°C. During every test, our automated apparatus stored images of each 300 μm long structure several times per hour. The resistance of each line was also precisely measured and recorded. Changing the temperature affected only the time scale of the resistance evolution. There were resistance changes before voids formed that were neither due to temperature fluctuations nor solute effects. In most cases, the nucleation of the first void to form in a line was signaled by an increase in the time derivative of the resistance. Due to the strong effect of void shape, the void volume could not be determined by the magnitude of the resistance change. The width of a void (transverse to the line) rather than the volume largely determined the resistance change.  相似文献   

11.
The knowledge of the actual temperature of a metal line is fundamental in electromigration tests. It depends on the feasibility of thermal resistance measurements on the test structures. This work deals with the typical problems associated with the evaluation of the thermal resistance of Al---Cu test structures. As a consequence of significant copper-precipitation-induced resistance drops during high temperature electrical and thermal characterisation of the lines, it is shown that the derived thermal resistance value is a decreasing function of the measurement elapsed time. Thermal characterisations performed at temperatures lower than those typically used] allowed us to overcome this kind of problem.  相似文献   

12.
In the continuous drive for smaller chips with more functionality, I/O counts and power requirements increase. This leads to a growing concern on the electromigration (EM) reliability of solder joints in the high-density flip-chip package. For solder EM tests, it is a great challenge to detect early EM failure since the 10% change in resistance is very small due to the small initial resistance of the solder. Furthermore, the small change in resistance can often be masked by the parasitic resistance in the interconnect connecting the solder daisy chain type structures commonly employed in EM tests. The Wheatstone bridge method has been reported to address the inaccuracy associated with the use of Four-probe measurement method in solder EM tests successfully. In this work, we describe the use of Kelvin double bridge configuration that can further increase the accuracy of the bump resistance measured.  相似文献   

13.
It is shown that changes in the microstructure of Cu interconnects lead to qualitative variation in electromigration damage kinetics - from the formation of the open circuit to continuous damage not leading to failure. Surface diffusion acting simultaneously with grain boundary mass transport is shown to be critical for damage formation. Activation energy of electromigration was measured to be 0.95 eV.  相似文献   

14.
The results are presented of an experimental evaluation of electromigration resistance for two types of Al metallization pattern used in the ICs of the 1554TBM and 1594T series. The experimental procedure is based on electrical-resistance measurements. Gamma-percent lifetime is calculated.  相似文献   

15.
A compact model for early electromigration failures in copper dual-damascene interconnects is proposed. The model is based on the combination of a complete void nucleation model together with a simple mechanism of slit void growth under the via. It is demonstrated that the early electromigration lifetime is well described by a simple analytical expression, from where a statistical distribution can be conveniently obtained. Furthermore, it is shown that the simulation results provide a reasonable estimation for the lifetimes.  相似文献   

16.
17.
The phenomena of electromigration is self-diffusion effect, and has an associated activation energy. Although the activation energy for bulk self-diffusion in aluminum is 1.48 eV, experimentally measured energies for films range approximately from 0.5 to 1.2 eV. This lower observed energy may be or has been ascribed by investigators to crystalline imperfections in and on the surface of the aluminum film. Of the three contributions to the effective activation energy, i.e., the surface, grain boundary, and bulk component, the surface component is here investigated. In light of the requirements for LSI and multilevel integrated circuitry, i.e., narrower, thinner metallizafion implying a larger surface to bulk atom ratio, correlation is made between surface treatment and effective activation energy of the conductor. Surface treatment is accomplished through vapor plating of dielectric overcoatings of P2O5-SiO2and Al2O3-SiO2glass. A study is made of the effects of dielectric overcoating as a function of aluminum thickness. It is seen that surface treatment is effective for ahtminum less than 5000 Å thick. It is further pointed out that for aluminum thickness greater than 6000 Å, surface passivation will have no effect with respect to electromigration. It has been shown that the type of aluminum deposition technique and deposition and post-deposition heat treatment is critical in obtaining the maximum bulk component of the activation energy. The dielectric overcoating, in combination with optimal bulk properties, increases the mean time between failure of the aluminum stripes by one to two orders of magnitude at high current densities and elevated ambients, than that found in many conventional integrated circuits.  相似文献   

18.
19.
In highly integrated semiconductor devices the time to failure of copper interconnects strongly depends on the properties of the copper-dielectric cap interface. In this work a production capable preparation of copper-dielectric cap interfaces with a high resistance to electromigration (EM) has been developed for 90 and 65 nm dual damascene technologies. With a new soft silicidation pretreatment of the copper metallization followed by a deposition of a SiCN or SiN cap the EM lifetime could be improved 3.5× referring to a standard SiCN capping process. The new pretreatment enables the formation of an epitaxial copper silicide layer on top of the copper metal lines which is seen as the key factor of the lifetime improvement. The new kind of cap layer process enables the lifetime improvement with only negligible increase of metal sheet resistance. The surface damage of copper and the low k inter-level dielectric which is typically caused during the copper precleaning could be minimized significantly. It is shown that there is no linear correlation between adhesion to copper and electromigration performance.  相似文献   

20.
Interconnection and electromigration scaling theory   总被引:1,自引:0,他引:1  
Interconnections will become the limit in performance and reliability at submicrometer dimensions. Long-distance interconnections are defined using models based on resistivity, and it is found that more than half of the interconnections will become categorized as such at 0.5-µm feature sizes. The resistivity of even less resistive materials, therefore, will become important. A model for analyzing the trends of material usage for interconnections and for projecting design rules is presented. Electromigration is the driving force away from the lowest resistivity silicon compatible material, namely aluminum. Replacements such as gold, however, have technological problems and the resistivity of refractory metals will be too high for a large fraction of the interconnections. Layered structures are one possible solution to the problems of electromigration and hillocks.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号