首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
Inductively coupled plasma reactive ion etching of CoZrNb magnetic thin films was studied using a TiN hard mask in a Cl2/O2/Ar gas mix. The etch rates of CoZrNb films and TiN hard mask gradually decreased with increasing Cl2 or O2 gas concentrations. When O2 gas was added in the Cl2/Ar gas mix, the etch rate of TiN hard mask was suppressed effectively so that the etch selectivity of CoZrNb film to TiN hard mask was enhanced. The addition of O2 into the gas mix also led to the anisotropic etching of the CoZrNb films and it was confirmed by Auger electron spectroscopy that there were no redeposited materials on the sidewall of the etched films. Highly anisotropic etching of CoZrNb films was achieved at room temperature under the optimized etching conditions.  相似文献   

2.
Zinc peroxide, ZnO2, thin films were grown by successive ionic layer adsorption and reaction (SILAR) technique at room temperature and normal pressure. The thin films were grown on glass, quartz, silicon, on poly(vinyl chloride) and polycarbonate substrates. The precursors used for ZnO2 films were diluted aqueous solutions of ZnCl2 complexed with ethylenediamine for cation and H2O2 for anion constituent of the film. The zinc peroxide film could be decomposed to zinc oxide by annealing in air or in vacuum. The as-grown films were polycrystalline, or amorphous and the annealed films were amorphous on all substrate materials. According to scanning electron microscopy images the films were uniform and homogeneous. The films were also characterized by UV spectroscopy.  相似文献   

3.
Etch characteristics of CoFeB magnetic thin films patterned with TiN hard masks were investigated using inductively coupled plasma reactive ion etching in H2O/Ar and H2O/CH4 gas mixes. As the H2O concentration in the H2O/Ar gas increased, the etch rates of CoFeB and TiN films decreased simultaneously, while the etch selectivity increased and etch profiles improved slightly without any redeposition. The addition of CH4 to the H2O gas resulted in an increase in etch selectivity and a higher degree of anisotropy in the etch profile. X-ray photoelectron spectroscopy was performed to understand the etch mechanism in H2O/CH4 plasma. A good pattern transfer of CoFeB films masked with TiN films was successfully achieved using the H2O/CH4 gas mix.  相似文献   

4.
Microbridges of YBa2Cu3O7 thin films have been fabricated by conventional photolithography and wet chemical etching using EDTA, and by the lift-off lithography technique. The variation of etch rate with etch time, etchant temperature, and post-deposition sintering temperature has been studied. It has been shown that both techniques are useful for film patterning. However, an additional sintering step is necessary for the chemically etched sample to regain the original film properties. An order of increase in critical current density is observed for the patterned film.  相似文献   

5.
A stable precursor for CoFe2O4 thin film was prepared by sol-gel technique from the aqueous solution of FeCl3·6H2O and CoCl2·6H2O. Sol was deposited on a naturally oxidized silicon-substrate by spinning technique (2000 rpm) and heat treated at different temperatures ranging from 700 to 1100 °C. Thickness of the films was controlled in the range of 400–500 nm and all the films were characterized by using XRD and SEM. The effects of temperature and the composition on the formation of CoFe2O4 thin film were also studied. Films obtained at relatively lower temperature showed multi-phases of α-Fe2O3, CoFe2O4 and CoO while the formation of CoFe2O4 phase increases with increasing temperature. Furthermore, the composition of the solution in mol% has great role on the formation of CoFe2O4 films and the film containing 50 mol% of Co2+ exhibited CoFe2O4 mono-phase. Surface morphology of the films was studied by scanning electron microscope (SEM). Magnetic properties of the films, studied by using vibrating sample magnetometer (VSM), showed relatively high saturation magnetization (8.04–22.21 kWb/m2) as well as high coercivity (44.59–63.30 kA/m). Saturation magnetization also increases with increasing heat treatment temperature.  相似文献   

6.
Shuxiang Mu 《Thin solid films》2010,518(15):4175-4182
Polyimide (PI) films with thin cobalt oxide (Co3O4) layers on both film sides have been prepared via a surface modification and ion-exchange technique. The method works by hydrolyzing the PI film surfaces in aqueous potassium hydroxide solution and incorporating Co2+ into the hydrolyzed layers of PI film via subsequent ion exchange, and followed by thermal treatment in ambient atmosphere. The PI composite films were characterized by Attenuated total reflection-Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, X-ray diffractions, scanning electron microscopy, transmission electron microscopy and thermogravimetric analyses, as well as surface resistance and mechanical measurements. By varying the absorbed cobalt ion content, a series of PI/Co3O4 composite films with insulative to semiconductive surfaces were obtained. The room temperature surface resistances of the semiconductive composite films reached to about 107 Ω. The Co3O4 particle formed on PI film surfaces was in the range of 10-40 nm. The final composite films maintained the essential mechanical properties and thermal stability of the pristine PI films. The adhesion between surface Co3O4 layers and PI matrix was acceptable.  相似文献   

7.
We investigated the etch characteristics and mechanisms of Ga-doped ZnO (Ga-ZnO) thin films in HBr/X (X = Ar, He, N2, O2) inductively-coupled plasmas. The etch rates of Ga-ZnO thin films were measured as a function of the additive gas fraction in the range of 0-100% for Ar, He, N2, and O2 at a fixed gas pressure (6 mTorr), input power (700 W), bias power (200 W), and total gas flow rate (40 sccm). The plasma chemistry was analyzed using a combination of the global (zero-dimensional) plasma model and Langmuir probe diagnostics. By comparing the behavior of the etch rate and fluxes of plasma active species, we found that the Ga-ZnO etch process was not limited by ion-surface interaction kinetics and appeared in the reaction rate-limited etch regime. In the HBr/O2 plasma, the etch kinetics were probably influenced by oxidation of the etched surface.  相似文献   

8.
Hydrated ZrO2 thin films were prepared by reactive sputtering in O2, H2O, and H2O + H2O2 mixed gas, and the effect of the sputtering atmosphere on ion conductivity of the films was investigated. The results showed that the films deposited in O2 gas exhibited poor ion conductivity; however, the ion conductivities of the films deposited in the other two kinds of atmosphere were similar and 300-500 times higher than that of the films deposited in O2 gas. It was indicated that the higher ion conductivity of the films was caused by lower film density and higher water content.  相似文献   

9.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

10.
In this work, the etching properties of titanium dioxide (TiO2) thin film in additions of O2 at CF4/Ar plasma were investigated. The maximum etch rate of 179.4 nm/min and selectivity of TiO2 of 0.6 were obtained at an O2/CF4/Ar (=3:16:4 sccm) gas mixing ratio. In addition, the etch rate and selectivity were measured as a function of the etching parameters, such as the RF power, DC-bias voltage, and process pressure. The efficient destruction of the oxide bonds by ion bombardment, which was produced from the chemical reaction of the etched TiO2 thin film, was investigated by X-ray photoelectron spectroscopy. To determine the re-deposition of sputter products and reorganization of such residues on the surface, the surface roughness of TiO2 thin film were examined using atomic force microscopy.  相似文献   

11.
To enhance the optical property of zinc oxide (ZnO) thin film, zinc sulfide (ZnS) thin films were formed on the interfaces of ZnO thin film as a passivation and a substrate layer. ZnO and ZnS thin films were deposited by atomic layer deposition (ALD) using diethyl zinc, H2O, and H2S as precursors. Investigations by X-ray diffraction and transmission electron microscopy showed that ZnS/ZnO/ZnS multi-layer thin films with clear boundaries were achieved by ALD and that each film layer had its own polycrystalline phase. The intensity of the photoluminescence of the ZnO thin film was enhanced as the thickness of the ZnO thin film increased and as ZnS passivation was applied onto the ZnO thin film interfaces.  相似文献   

12.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

13.
Aluminium oxide being environmentally stable and having high transmittance is an interesting material for optoelectronics devices. Aluminium oxide thin films have been successfully deposited by hot water oxidation of vacuum evaporated aluminium thin films. The surface morphology, surface roughness, optical transmission, band gap, refractive index and intrinsic stress of Al2O3 thin films were studied. The cost effective vapor chopping technique was used. It was observed that, optical transmittance of vapor chopped Al2O3 thin film showed higher transmittance than the nonchopped film. The optical band gap of vapor chopped thin film was higher than the nonchopped Al2O3, whereas surface roughness and refractive index were lower due to vapor chopping.  相似文献   

14.
Nickel-oxide-based films exhibiting antibacterial activity against both Gram-negative (Escherichia coli) and Gram-positive bacteria (Bacillus atrophaeus) have been fabricated by electrodeposition from aqueous solutions. However, after annealing of the films, no antibacterial activity has been observed. As-deposited films were found to consist of a mixture of nickel-oxide hydroxide and nickel hydroxide, while annealing resulted in the conversion of the films into pure NiO. Also, annealed films exhibited no production of H2O2, unlike as-deposited films. Thus, antibacterial activity of as-deposited films is related to the presence of nickel-oxide hydroxide/nickel hydroxide which results in the production of reactive oxygen species and antibacterial activity.  相似文献   

15.
Fe2O3 thin films containing dispersed Au nanoparticles were prepared on nesa silica glass substrates, using Fe(NO)3·9H2O-HAuCl4·4H2O-CH3COCH2COCH3-CH3OC2H4OH solutions containing polyvinylpyrrolidone (PVP). The photoanodic properties were studied in a three-electrode cell with the film sample as the working electrode and an aqueous buffer solution of pH=7 as the supporting electrolyte. The Au particles increased photoanodic quantum efficiency at wavelengths <500-600 nm. Quantum efficiency was further increased by modifying the microstructure of the film electrodes through the addition of PVP. The maximum incident photon to current efficiency (IPCE) of about 20% was achieved in an Au-dispersed film prepared from a solution containing PVP. PVP in coating solutions provided the fired films with an increase in size of the grains and voids between them and in donor density, either of which could contribute to the increased IPCE.  相似文献   

16.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

17.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

18.
Microstructural characteristics and carbon content in aluminum oxide thin films deposited on silicon substrates are reported as a function of deposition parameters such as substrate temperature and molar concentration of the spraying solution. The films were deposited using the spray pyrolysis technique from a spraying solution of aluminum acetylacetonate (Al(acac)3), dissolved in N,N-dimethylformamide (N,N-DMF), at temperatures in the range from 500 to 650 °C. Water mist was added during the deposition process and no further post deposition thermal treatments were given to these films. The films have a stoichiometry close to that expected for Al2O3, although, residual carbon from the deposition process was found to be present in these films. The surface roughness of the films was less than 20 , with deposition rates up to 540 /min, low chemical etch rates and activation energies around 27.6 kJ/mol were also determined. High resolution transmission electron microscopy observation of these films show the presence of a tiny 5Al2O3 : H2O crystalline phase embedded in a dense amorphous matrix. It was found that the average crystallite diameter of this phase depended on the deposition temperature as well as on the molar concentration of the spraying solution.  相似文献   

19.
Nanostructured pure and Pt-doped TiO2 thin films were prepared by chemical spray pyrolysis technique. Aqueous solution of TiCl3·6H2O (0·01 M) was chosen as the starting solution for the preparation of pure TiO2 thin film. Aqueous solutions of PtCl6·6H2O (0·01 M) and TiCl3·6H2O (0·01 M) were mixed in volume % of 1 : 99, 2·5 : 97·5 and 5 : 95 respectively to obtain Pt-doped TiO2 thin films. The solutions were sprayed onto quartz substrate heated at 350 °C temperature to obtain the films. These thin films were fired for one hour at 550 °C. The sensing performance of these films was tested for various gases such as LPG, H2, CO2, ethanol, NH3 and Cl2 (1000 ppm). The Pt-doped TiO2 (1 : 99) was observed to be most sensitive (572) to H2 at 400 °C with high selectivity against other gases. Its response time was short (10 s) and recovery was also fast (14 s). To understand the reasons behind the gas-sensing performance of the films, their structural and microstructral properties were studied using X-ray diffraction and electron microscopy (FE–SEM and TEM), respectively. Thicknesses of all these samples were determined using Surface Profiler. The results are interpreted.  相似文献   

20.
The electroreflectance technique was applied to the case in which a thin dielectric film is present at a metal-electrolyte interface. The Ti/TiO2H2SO4 system, in which an optical response characteristic of the TiO2 film properties could be obtained for films with thicknesses of about five monolayers, was investigated experimentally. Photocurrent spectra were also obtained and information relevant to the properties of the very thin films was determined by a combination of these two optical techniques.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号