首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 125 毫秒
1.
基于断言的验证方法在总线协议验证中的应用   总被引:7,自引:0,他引:7  
随着ASIC和SoC设计复杂程度的不断提高,功能验证越来越受到重视。作为新兴的验证方法,基于断言的验证得到越来越广泛的应用。本文介绍了基于断言的验证方法及其应用于功能验证的诸多优点,总结了断言验证在总线协议验证中的应用方法,并采用PSL语言举例进行了说明。  相似文献   

2.
硬件设计复杂度的增加使功能验证成为硬件设计方法学中的重要内容,基于断言技术的硬件设计验证技术(ABV:Assertion Based Verification)得到越来越多的应用。本文首先简要地介绍了硬件设计断言的类型,然后详细讨论了使用断言进行硬件设计验证的方法,包括:断言在仿真中的应用,断言在形式验证中的应用,结合了仿真及形式验证的混合形式验证等.本文还介绍了支持断言验证技术的设计语言和设计属性检查库,包括OVL(Open Verification Library)、OVA(OpenVera Assertion)和OVA设计属性检查库等。  相似文献   

3.
基于断言的验证方法在UART模块中的应用研究   总被引:1,自引:1,他引:0  
介绍了一种易于实现的基于断言的验证(ABV)方法,即经过5个步骤在设计文件中插入断言,使仿真器在仿真过程中监视设计中的关键功能点.该方法在UART的寄存器传输级(RTL)模型功能验证中的应用,实验中使用SVA描述设计属性.实验证明,这一方法提高了设计的可观察性,适用于数字集成电路功能验证.  相似文献   

4.
随着SoC设计复杂程度的不断提高,芯片的功能验证面临的挑战越来越大。断言作为一种描述属性的方法,可以快速地验证设计代码是否满足系统要求。基于断言的验证方法学近年来发展极为迅速,应用也越来越广泛。在基于Multi-layer AHB总线架构上的SoC系统验证过程中,采用System Verilog Assertion验证方法,证明SVA是SoC设计过程中功能验证的一种有效的验证方法。  相似文献   

5.
结合断言与覆盖率为导向的验证方法   总被引:5,自引:2,他引:3  
伴随着半导体工艺的不断发展,可以将更多的功能集成到单系统芯片上.这对传统的验证方法和验证途径提出了种种挑战.以覆盖率为导向的验证方法中,覆盖率模型是在外部通过DUT执行的功能来统计覆盖率,很难侦测到DUT内部的工作状态,存在对功能"遗漏点"的侦测.基于断言的验证方法可以将断言加入到DUT内部,通过断言覆盖加强覆盖率检测.阐述了将断言和覆盖率为导向相结合的验证方法,并用此种方法对USB2.0系统进行了验证.讨论了如何将两种验证方法有效地结合,并且通过比较覆盖率为导向的验证方法与结合断言与覆盖率为导向的验证方法的结果,说明结合断言与覆盖率为导向的验证方法提高了验证过程中的观测性,减少了验证周期.  相似文献   

6.
随着SoC(System on-a-Chip)设计规模的指数增长,验证时的模拟时间也变得越来越长,已经到了令人无法忍受的地步.因此如何进行有效、充分的验证,尤其是功能验证已经成为SoC设计方法学中重要的内容.本文将要介绍的基于断言的验证(Assertion Based Verification,ABV)是SoC设计功能验证的一种有效的方法,能够有效地提高验证效率.  相似文献   

7.
提出了设计错误类型和断言分类相结合的方法,依据常见的RTL设计错误指导断言的分类选取,从而提高断言插入的针对性和目的性.同时,提出了断言层次化设计方法,将复杂的断言设计拆分成为多个层次化的步骤,以降低断言设计难度,减少断言代码自身错误.通过验证实例,证明了所提方法能够实现断言的高效嵌入,进而明显提升功能验证的效率.  相似文献   

8.
王锐  冯煌 《中国集成电路》2013,22(10):50-57
基于断言的验证方法已经广泛应用于芯片设计验证,其主要作用是提高验证效率和验证质量。由于手工设计的断言需要耗费大量人力和时间,使得断言的应用受到很大限制。断言合成可以自动生成高质量的白盒电路断言。本文介绍了一种基于断言合成的验证方法学与流程,以及其在验证流程中的多个应用:评估验证过程的进度;协助完成IP的coverage signoff;帮助在硬件仿真时发现问题,并且在验证的不同阶段协助发现或者快速定位芯片设计中的缺陷。  相似文献   

9.
断言语言SVA在硬件功能验证中的应用   总被引:1,自引:0,他引:1  
基于断言的验证(Assertion Based Verification,ABV),是一种有价值的主流验证技术.断言特别适合于描述时序特性和因果特性.作为System Verilog的重要组成部分,System VerilogAssertion(SVA)提供了丰富的断言指令,能有效的提高验证测试工作的质量和效率.文章首先介绍了断言验证语言System Verilog Assertion,并针对ARM公司的AMBA总线中的AHB仲裁器模块的设计,给出了一种基于断言的验证方法,采用SVA对其进行验证测试.测试仿真的结果表明,本文给出的方法的有效性和正确性.  相似文献   

10.
杜宁  吴国增 《电子测试》2007,(10):51-53
针对排除芯片设计流程中RTL级的错误时,需要花费大量时间看仿真波形与日志文件的问题,本文介绍了基于断言的硬件功能验证技术的基础,以及利用断言技术在黑盒验证中测试一设备输出信号时的应用,总结了断言验证的优点,以及断言与半形式化验证结合的特点,断言技术与动态仿真、形式验证、激励自动生成等技术结合,能更快更有效的发现硬件设计过程中RTL级的错误,成为验证方法学的焦点.  相似文献   

11.
面向系统芯片的验证策略   总被引:1,自引:0,他引:1  
随着集成电路的设计规模不断增大,芯片的验证工作变得越来越重要。文章首先回顾了一些常用的验证技术,然后分别讨论了SOC设计中所要进行的模块单独验证、芯片的全功能验证以及系统的软、硬件协同验证。  相似文献   

12.
随着硬件设计复杂度的提高,设计的后期验证在设计生命周期中占据的比重也越来越大。能否对设计进行全面有效的验证,是验证人员所面临的主要问题。采用SystemVerilog语言对SRAM控制器IP核搭建验证环境,并结合SVA断言技术对其实行监控,得出代码及功能覆盖率数据。通过与传统的验证方法对比分析可知,基于SystemVerilog的验证方法更加全面有效,提高了验证质量。  相似文献   

13.
Functional errors in analog portion of mixed signal circuits become more severe and improvements in verification methods are increasingly important. Current verification methods fall into two categories, simulation-based verification and formal verification (Barke et al. [1]), focusing on verifying analog circuit function/performance. This paper proposes a novel approach verifying analog circuit design using causal reasoning. Causal reasoning is the inductive reasoning process to create a new design. The flow begins with mining the causal reasoning steps (design plan) that produced the circuit, including starting ideas, design step sequence, and their justifications (Jiao et al., 2015 [2]). Then, topological features corresponding to the starting ideas and design step sequence are verified individually by replacing the related devices with ideal behavior model. Performance is evaluated through Cadence Spectre simulation. Comparison with new circuit performance reveals incorrect functional issues and/or performance potentials for improvement. They are negative causes of certain starting ideas or design steps, which might have been omitted during the design process. The paper discusses three operational amplifier designs realized in 0.2-μm CMOS technology to illustrate the verification approach.  相似文献   

14.
介绍了对事务级验证方法提出了一种基于事务的IP功能验证环境,加入断言技术,并应用于实际项目。实验结果表明,该验证平台具有较高的自动化程度和较快的错误定位机制,可以极大地提高验证效率。  相似文献   

15.
Ties that bind     
VHDL designers can take advantage of the advanced verification features of SystemVerilog thanks to the bind function in the newer language. One of the most important languages to emerge for advanced design and verification is SystemVerilog. This language offers a rich set of features for testbench automation, applying native assertions, functional coverage and constrained random test generation. These features make SystemVerilog increasingly appealing to VHDL users who have a number of verification-oriented features at their disposal but need to implement a more efficient functional verification methodology for complex designs  相似文献   

16.
为了缩短专用集成电路和片上系统的功能验证周期,该文提出FPGA硬核处理器系统加速数字电路功能验证的方法。所提方法综合软件仿真功能验证和现场可编程门阵列原型验证的优点,利用集成在片上系统现场可编程门阵列器件中的硬核处理器系统作为验证激励发生单元和功能验证覆盖率分析单元,解决了验证速度和灵活性不能统一的问题。与软件仿真验证相比,所提方法可以有效缩短数字电路的功能验证时间;在功能验证效率和验证知识产权可重用方面表现优于现有的FPGA原型验证技术。  相似文献   

17.
本文结合处理器芯片实际项目,重点介绍了功能验证环节的工作。文章基于VMM验证平台,利用System Verilog语言自动生成测试激励,采用断言和功能覆盖率相结合的验证方法,实时监测RTL模型运行时的各种信号,自动进行覆盖率统计,通过增加约束实现覆盖率的快速收敛。文章最终给出了基于VMM验证平台进行功能验证的结果,绘制了功能覆盖率上升曲线。  相似文献   

18.
基于E语言的外部存储器接口的功能验证   总被引:2,自引:0,他引:2  
在SoC设计中,传统功能验证方法已显示出其缺点,主要问题有:复杂验证场景难以构建;边缘情况难以覆盖。针对这些问题,业界提出了一种新的功能验证方法学——受限随机矢量生成的功能验证,该方法在满足约束条件的前提下,随机产生验证矢量。本文研究了受限随机矢量生成的功能验证在SoC设计中的应用,并以基于E语言和Specman验证平台验证了SoC芯片中的外部存储器接口,给出了具体的验证环境和验证步骤。验证结果表明,复杂验证场景和边缘情况的覆盖率均达到了100%。极大地提高了验证的效率和质量。  相似文献   

19.
功能验证是嵌入式CPU设计中一项复杂而重要的工作.针对某8位嵌入式CPU的设计要求,提出了一种嵌入式CPU的高度集成化的功能验证平台.该验证平台集成了整个功能验证流程,包括验证程序开发、验证程序调试、验证数据生成、验证Testbench、验证配置环境、覆盖率分析、结果比较和分析及基于FPGA的硬件验证平台等.验证平台通过代码覆盖率的分析来改善验证的完备性.该验证平台原理清晰,结构简单,扩展灵活,提高了功能验证的效率和自动程度,对其它CPU验证平台的设计具有一定的参考价值.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号