首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 171 毫秒
1.
正意法半导体(ST)率先将硅通孔技术(TSV)引入MEMS芯片量产。在意法半导体的多片MEMS产品(如智能传感器、多轴惯性模块)内,硅通孔技术以垂直短线方式取代传统的芯片互连线方法,在尺寸更小的产品内实现更高的集成度和性能。硅通孔技术利用短垂直结构连接同一个封装内堆叠放置的多颗芯片,相较  相似文献   

2.
3D-TSV技术——延续摩尔定律的有效通途   总被引:2,自引:0,他引:2  
对于堆叠器件的3-D封装领域而言,硅通孔技术(TSV)是一种新兴的技术解决方案.将器件3D层叠和互连可以进一步加快产品的时钟频率、降低能耗和提高集成度.为了在容许的成本范围内跟上摩尔定律的步伐,在主流器件设计和生产过程中采用三维互联技术将会成为必然.介绍了TSV技术的潜在优势,和制约该技术发展的一些不利因素及业界新的举...  相似文献   

3.
硅通孔互连技术的开发与应用   总被引:1,自引:0,他引:1  
随着三维叠层封装、MEMS封装、垂直集成传感器阵列以及台面MOS功率器件倒装焊技术的开发,硅通孔互连技术正在受到越来越广泛的重视和研究。文中叙述了几种硅通孔互连技术的制造方法,以及它们在三维封装、MEMS封装、高密度硅基板、垂直集成传感器阵列和台面MOS功率器件等方面的应用。最后,进一步阐述了硅通孔互连中几项关键技术的研究现状以及存在的挑战。  相似文献   

4.
后摩尔时代的封装技术   总被引:2,自引:2,他引:2  
介绍了在高性能的互连和高速互连芯片(如微处理器)封装方面发挥其巨大优势的TSV互连和3D堆叠的三维封装技术。采用系统级封装(SiP)嵌入无源和有源元件的技术,有助于动态实现高度的3D-SiP尺寸缩减。将多层芯片嵌入在内核基板的腔体中;采用硅的后端工艺将无源元件集成到硅衬底上,与有源元件芯片、MEMS芯片一起形成一个混合集成的器件平台。在追求具有更高性能的未来器件的过程中,业界最为关注的是采用硅通孔(TSV)技术的3D封装、堆叠式封装以及类似在3D上具有优势的技术,并且正悄悄在技术和市场上取得实实在在的进步。随着这些创新技术在更高系统集成中的应用,为系统提供更多的附加功能和特性,推动封装技术进入后摩尔时代。  相似文献   

5.
基于硅基微电子机械系统(MEMS)三维异构集成工艺,设计了一款适用于相控阵天线系统的三维堆叠4通道T/R模组。模组由3层功能芯片堆叠而成,3层功能芯片之间采用贯穿硅通孔(TSV)和球栅阵列实现电气互连;模组集成了6位数控移相、6位数控衰减、串转并、负压偏置和电源调制等功能,最终尺寸为12 mm×12 mm×3.8 mm。测试结果表明,在X波段内,模组的饱和发射输出功率为30 dBm,单通道发射增益可达27 dB,接收通道增益为23 dB,噪声系数小于1.65 dB。该模组性能优异,集成度高,适合批量生产。  相似文献   

6.
随着现代科技的发展,人们对微系统的小型化、高性能、多功能、低功耗和低成本的要求越来越高,基于硅通孔技术技术的三维系统封装技术(3D SiP,three dimensional dystem in packaging)愈发显现出其重要的研究价值.硅通孔技术将集成电路垂直堆叠,在更小的面积上大幅地提升芯片性能并增加芯片功能...  相似文献   

7.
随着芯片集成度的不断提高以及CMOS工艺复杂度的增加,集成电路的成本及性能方面的问题越来越突出,基于TSV技术的三维集成已成为研究热点,并很有可能是未来集成电路发展的方向.在三维集成中,键合技术为芯片堆叠提供电学连接和机械支撑,从而实现两层或多层芯片间电路的垂直互连.介绍了几种晶圆级三维集成键合技术的特点及研究现状.  相似文献   

8.
硅通孔互连技术的开发与应用   总被引:4,自引:0,他引:4  
随着三维叠层封装、MEMS封装、垂直集成传感器阵列以及台面MOS功率器件倒装焊技术的开发,硅通孔互连技术正在受到越来越广泛的重视和研究。本文叙述了几种硅通孔互连的制造方法,及其应用。最后,进一步阐述了硅通孔互连中几项关键技术的研究现状以及存在的挑战。  相似文献   

9.
基于硅通孔(TSV,Through Silicon Via)技术的3D IC是一种系统级架构的新方法,内部含有多个平面器件层的叠层,并经由穿透硅通孔在垂直方向实现相互连接。采用这种方式可以大幅缩小芯片尺寸,提高芯片的晶体管密度,改善层间电气互联性能,提升芯片运行速度,降低芯片的功耗。在设计阶段导入3D IC  相似文献   

10.
杨栋  赵宇 《半导体技术》2023,(6):506-511
基于硅基微电子机械系统(MEMS)工艺设计了一种Ka波段四通道短砖式三维集成T/R微系统,实现四通道收发及功率合成功能。器件每个通道具备6 bit数控移相、5 bit数控衰减、电源调制等功能。该T/R微系统由两层硅基MEMS模块堆叠而成,每层硅基模块内部异构集成多个单片微波集成电路(MMIC),内部采用硅通孔(TSV)实现垂直互连,层间采用植球互连,器件尺寸为18 mm×19.5 mm×3 mm。经测试,在33~37 GHz频段内,器件单通道饱和发射功率大于30 dBm,接收增益约为35 dB,噪声系数小于4.6 dB。器件兼顾高性能和高集成度,可应用于雷达相控阵系统。  相似文献   

11.
张玲  梅军进  王伟征 《微电子学》2017,47(6):797-801, 805
相比于2D芯片,3D芯片具有更高的功率密度和更低的热导率。针对散热问题,多层3D芯片一般采用具有较高热导率的铜填充硅通孔(TSV)。为提高3D芯片的成品率,在温度条件限制下,对3D芯片进行TSV的容错结构设计非常重要。分析了带有TSV的3D芯片温度模型,提出了3D芯片温度模型的TSV修复方法。根据温度要求设计总的TSV数,将这些TSV分为若干个组,每组由m个信号TSV和n个冗余TSV组成,实现了组内和组间信号的TSV修复。实验结果表明,该TSV容错结构不仅有较高修复效率,而且具有较好散热效果。  相似文献   

12.
Three‐dimensional integration technology results in area savings, platform power savings, and an increase in performance. Through‐silicon via (TSV) assembly and manufacturing processes can potentially introduce defects. This may result in increases in manufacturing and test costs and will cause a yield problem. To improve the yield, spare TSVs can be included to repair defective TSVs. This paper proposes a new built‐in self‐test feature to identify defective TSV channels. For defective TSVs, this paper also introduces dynamic self‐repair architectures using code‐based and hardware‐mapping based repair.  相似文献   

13.
To reduce interconnect delay and power consumption while improving chip performance, a three‐dimensional integrated circuit (3D IC) has been developed with die‐stacking and through‐silicon via (TSV) techniques. The power supply problem is one of the essential challenges in 3D IC design because IR‐drop caused by insufficient supply voltage in a 3D chip reduces the chip performance. In particular, power bumps and TSVs are placed to minimize IR‐drop in a 3D power delivery network. In this paper, we propose a design methodology for 3D power delivery networks to minimize the number of power bumps and TSVs with optimum mesh structure and distribute voltage variation more uniformly by shifting the locations of power bumps and TSVs while satisfying IR‐drop constraint. Simulation results show that our method can reduce the voltage variation by 29.7% on average while reducing the number of power bumps and TSVs by 76.2% and 15.4%, respectively.  相似文献   

14.
Three-dimensional integration technology is proposed to break down long wires and increase integration level of emerging complex designs. However, efficiency of this technology heavily depends on the usage of Through-Silicon Vias. TSVs are key solutions for cooling the 3D-chips but they occupy considerable silicon area. Therefore, reducing the number of required TSVs in routing step is very critical in 3D-chips. In this paper, a TSV multiplexing approach is proposed to reduce the number of required routing TSV. We proposed two multiplexed 3D-switchbox architectures. In the first architecture, the TSVs inside the switchboxes are multiplexed while in the second architecture, TSVs are multiplexed between the switchboxes. Moreover, a routing algorithm is suggested to route the FPGA using the multiplexed switchboxes to evaluate the proposed architectures. Experimental results show that the presented architectures and algorithms reduce the number of used TSVs by 64.58% and 71.27% on average for the first and second architectures respectively, in cost of a negligible overheads in total wire length and auxiliary switches.  相似文献   

15.
The large mismatches among the coefficients of thermal expansion (CTE) of the metal via, insulator liner, and Si substrate of the through-silicon via (TSV) induce thermal stresses within and around the TSV during thermal-cycled fabrication processes. Reduction of thermal stress in the Si substrate is important for minimizing the deviations in the device characteristics. An annular-trench-isolated (ATI) structure was proposed for the TSV to solve the thermal issues, which occur during the three-dimensional (3D) integrated circuit (IC) integration, by stress redistribution. The concept of ATI TSV is based on retaining a Si-ring between the metal core and insulator layer during the fabrication process. We realized the ATI TSV using a via-last fabrication approach, with two deep silicon etching processes (Bosch processes) for the insulator layer and the metal core. Parylene-HT was utilized as the insulator to achieve high uniformity. With a vacuum-assisted filling system, the vias were filled with a solder material. ATI TSVs with diameters of 10 μm and 2-μm-thick Parylene-HT insulation layers were demonstrated. Studies on the thermal stress levels of the ATI TSV were carried out by finite-element method (FEM) simulation, along with comparisons with regular and annular TSVs. We revealed that the ATI TSV shows lower thermal stresses in the Si substrate than the regular and annular TSVs. The ATI TSV is a possible candidate for 3D IC integration with stress-sensitive devices.  相似文献   

16.
方孺牛  孙新  缪旻  金玉丰 《半导体学报》2016,37(10):106002-6
In this paper, a new type of through-silicon via (TSV) for via-first process namely bare TSV, is proposed and analyzed with the aim of mitigating noise coupling problems in 3D integrated systems for advanced technology nodes. The bare TSVs have no insulation layers, and are divided into two types: bare signal TSVs and bare ground TSVs. First, by solving Poisson''s equation for cylindrical P-N junctions, the bare signal TSVs are shown to be equivalent to conventional signal TSVs according to the simulation results. Then the bare ground TSV is proved to have improved noise-absorption capability when compared with a conventional ground TSV. Also, the proposed bare TSVs offer more advantages to circuits than other noise isolation methods, because the original circuit design, routing and placement can be retained after the application of the bare TSVs.  相似文献   

17.
Three dimensional (3D) integrated systems become a reality nowadays, as Thru-Silicon-Via (TSV) technologies mature. 3D integration promises significant performance and energy efficiency improvements by reducing the signal travel distances and integrating more capabilities on a single chip. High integration costs, thermal management, and poor reliability and yield are major challenges of TSV based 3D chips. High structural and parametric fault rates due to manufacturing defects makes it difficult to achieve high interconnect yield using only spare-based repair solutions. In this paper we address the TSV yield issue by implementing the inter-die links of 3D chips as Configurable fault-tolerant Serial Links (CSLs). When there are not enough available functional TSVs, faults are tolerated by performing data serialization. CSLs help reduce chip costs by improving the TSV yield with very few or no spares at all. For 3D Networks-on-Chip (3D NoCs) we show that the CSL yield improvement comes with moderate area overheads (~12–26%) and small performance penalties (less than 5% average latency overhead).  相似文献   

18.
Three‐dimensional integrated circuits (3D ICs) implement heterogeneous systems in the same platform by stacking several planar chips vertically with through‐silicon via (TSV) technology. 3D ICs have some advantages, including shorter interconnect lengths, higher integration density, and improved performance. Thermal‐aware design would enhance the reliability and performance of the interconnects and devices. In this paper, we propose thermal‐aware floorplanning with min‐cut die partitioning for 3D ICs. The proposed min‐cut die partition methodology minimizes the number of connections between partitions based on the min‐cut theorem and minimizes the number of TSVs by considering a complementary set from the set of connections between two partitions when assigning the partitions to dies. Also, thermal‐aware floorplanning methodology ensures a more even power distribution in the dies and reduces the peak temperature of the chip. The simulation results show that the proposed methodologies reduced the number of TSVs and the peak temperature effectively while also reducing the run‐time.  相似文献   

19.
Through-silicon vias (TSVs) have provided an attractive solution for three-dimensional (3D) integrated devices and circuit technologies with reduced parasitic losses and power dissipation, higher input-output (I/O) density and improved system performance. This paper investigates the propagation delay and average power dissipation of single-walled carbon nanotube bundled TSVs having different via radius and height. Depending on the physical configuration, a comprehensive and accurate analytical model of CNT bundled TSV is employed to represent the via (vertical interconnect access) line of a driver-TSV-load (DTL) system. The via radius and height are used to estimate the bundle aspect ratio (AR) and the cross-sectional area. For a fixed via height, the delay and the power dissipation are reduced up to 96.2% using a SWCNT bundled TSV with AR = 300 : 1 in comparison to AR = 6 : 1.  相似文献   

20.
The use of 3D-IC technology has become quite widespread in designing core-based systems-on-chip (SoCs). Concomitantly, testing of cores and inter-layer through-silicon-vias (TSVs) spanning through different layers of 3D chips has become an important problem in the manufacturing cycle. Testing 3D-SoCs is more challenging compared to their 2D counterparts because of the complexity of their design and power management issues. Also, the test procedure demands substantially more power than what is required in the normal functional mode, and hence, stringent thermal constraints during test need to be fulfilled to safeguard future performance and reliability of the chip. Since the overall 3D infrastructure depends on routing layer assignments, core allocation, and the geometry of TSV locations, these parameters should be given due consideration while designing the test-access-mechanism (TAM) that aims for minimizing overall test time satisfying power and TSV constraints. In this paper, we present a three-stage algorithm for reducing the test time in automated post-bond core-based 3D-SoCs, under a set of given constraints on test power, TAM-width, and the number of available TSVs. The proposed algorithm, when run on several ITC-02 SoC benchmarks, outperforms the algorithms presented in earlier work with respect to CPU-time, and additionally, reduces test time in many instances.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号