首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Diamond films were implanted with C+, Si+ or Sn+ ions at multiple energies in order to generate a uniform layer of implantation-induced disorder. The implant energies of 60, 180, 330 and 525 keV for C+ ions, 200, 500 and 950 keV for Si+ ions and 750 and 2000 keV for Sn+ ions were selected to give an approximately constant vacancy concentration at depths over the range ∼ 0–0.5 μm. An analysis of the C+ implanted surfaces by Raman spectroscopy has shown an increase in non-diamond or sp2-bonded carbon at doses in the range 5 × 1013 to 5 × 1015 cm 2. In comparison, a completely non-diamond structure was evident after implantation with either Si+ ions at a dose of 5 × 1015 ions/cm2 or Sn+ ions at ≥ 5 × 1014 cm 2. For a given dose, the etch rate of the diamond film was shown to increase with the mass of the implanted species in the order of C+, Si+ and Sn+. For a given implant species, the etch rate increased with the implant dose and the ion-induced vacancy concentration. The etch rate of the implanted diamond in various gases decreased in the order of O2, CF4/O2 and CHF3/O2 plasmas.  相似文献   

2.
Ion implantation is commonly used to modify the surface or near-surface properties of materials. In this work, plasma treated ultrananocrystalline diamond (UNCD) films were implanted using 100 and 200 keV high dose (1016 ions/cm2) nitrogen ions and annealed. Detailed studies have been carried out to reveal the structural and chemical states of the surface treated UNCD films before implantation, as-implanted, and after annealing by using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and electron field emission (EFE) measurements. The high dose N ion implantation induced the formation of amorphous phase, which are converted into graphitic phase after annealing, and improved the field emission properties of UNCD films. The improved field emission is attributed to the surface charge transfer doping mechanism.  相似文献   

3.
CVD diamond coated tungsten carbide tools have been used for cutting and drilling of soft materials such as aluminum and copper alloys. However, it is very difficult to obtain a tool having a sharp tip of the order of sub-μm by mechanical abrasive polishing methods. Therefore, we applied ion beam processing for sharpening the cutting edge of diamond coated tungsten carbide tools. Result shows that it is possible to obtain a 20-80 nm order tip width of a CVD diamond coated knife processed by a 0.5-10 keV Ar+ ion beam, and the sharpening speed of a tip of the knife depends on the ion beam energy. Namely, a tip width of a knife processes by a 1.0 keV Ar+ ion beam at an ion dose of 2.7 × 1020 ions/cm2 becomes 20 nm, and a tip width of a knife processed by a 10 keV Ar+ ion beam at an ion dose of 5.4 × 1019 ions/cm2 becomes 40 nm. However, a facet with an apex angle in the range of 60-100° was formed on the cutting edge of a knife with an initial apex angle of 55°, and we found that the facet angle can be controlled by choosing ion beam energy of 0.5-10 keV. Moreover, results show that the processed knife machined by a 0.5 keV Ar+ ion beam has very smooth rake and flank faces, and also has a small line edge roughness of the cutting edge compared to those of the sharpened knife by a 1.0-10 keV Ar+ ion beam.  相似文献   

4.
Ti—6Al—7Nb and Ti—5Al—2Nb—1Ta alloys were implanted with N+ ions with an ion energy of 75 keV at a dose rate of 1 × 1017 and 1 × 1018 ions cm–2. Open circuit potential (OCP) and potentiodynamic cyclic polarization measurements were carried out on the titanium alloys in Hanks solution to assess their corrosion resistance. The tendency for repassivation is higher in the case of implanted alloys than in untreated alloys owing to the formation of stable passive films. The impedance data showed a decrease in the double layer capacitance and an increase in the charge transfer resistance of the treated alloys. Nitrogen ion implanted Ti—6Al—7Nb was found to be more corrosion resistant than implanted Ti—5Al—2Nb—1Ta alloy.  相似文献   

5.
The structural modifications of polycrystalline hexagonal boron nitride implanted with He+ beams at energies between 200 keV and 1.2 MeV to fluences of 1.0 × 1017 ions cm? 2 were investigated using micro-Raman spectroscopy. The measured Raman spectra show evidence of implantation-induced structural transformations from the hexagonal phase to nanocrystalline cubic boron nitride, rhombohedral boron nitride and amorphous boron nitride phases. The first-order Longitudinal-Optical cubic boron nitride phonon was observed to be downshifted and asymmetrically broadened and this was explained using the spatial correlation model coupled with the high ion implantation-induced defect density.  相似文献   

6.
The present work deals with two different surface modification techniques for altering the surface properties of polymers: plasma treatment and ion implantation. Polymer foils were exposed in an inductively-coupled r.f. (13.56 MHz) plasma system with and without applying a negative high voltage pulse to the sample stage. The influence of low pressure plasmas of oxygen, nitrogen, or argon on the chemical composition, topography, and wettability of polymer surfaces was studied in detail. Etch rates of poly(ethylene terephthalate) for different plasma parameters were monitored. The polymer surface was also modified by a high energy ion beam process. Polyimide films were implanted with different ion species such as Ar+, N+, C+, He+, and O+ at doses from 1 × 1015 to 1 × 1017 ion/cm2. Ion energy was varied from 10 to 60 keV for the plasma source ion implantation (PSII) experiment. Polyimide samples were also implanted with 1 MeV hydrogen, carbon, and oxygen ions at a dose of 1 × 1014 ion/cm2. Depending on the ion energy, dose, and ion species, the surface resistivity of the film was reduced by several orders of magnitude. A study on the plasma-treated and ion beam-treated polymer surfaces was performed using TOF-SIMS, XPS, SEM, AFM, and water contact angle measurements.  相似文献   

7.
Graphite was modified by 250 keV 37Cl+ ion implantation. Combined Raman microspectrometry/transmission electron microscopy (TEM) studies have been used to characterize the multiscale organization of the graphite structure. The penetration depth of 37Cl+ into the graphite sample was limited to the surface (∼200 nm) because of the dissipation of the irradiating ion energy as expected by secondary ion mass spectrometry analysis. Raman microspectrometry appears to be an appropriate tool for studying such scales. Spectra showed a strong increase of defect bands after implantation at a fluence of 5 · 1013 ions/cm2. In order to examine the structural degradation of the graphite versus the depth at the nanometer scale, the focused ion beam technique seems to be a well-suited method for a relevant coupling of Raman and TEM observations.  相似文献   

8.
Ge nanocrystals (Ge-NCs) embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV) ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV) have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS) memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV) sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.  相似文献   

9.
The changes in the structural and electrical properties of nylon-6 films after implantation with an inert gas ion such as Ar+, with energy of 285keV and at different dose rates (1014 to 1017 ions cm-2), have been investigated. The conductivity, calculated using the projected range as the thickness of the implanted layer, increases by about four to five orders of magnitude for a dose rate of 5×1015 ions cm-2. This is the result of the formation of dangling bonds and free radicals due to implantation. The concentration of free radicals at room temperature measured using an electron spin resonance spectrometer shows that it is proportional to the dose rate up to a certain value, beyond which irradiation-induced damage sets in. The Fourier transform infra-red absorption spectra of the samples indicate certain structural changes due to ion implantation. © of SCI.  相似文献   

10.
Focused ion beam (FIB) technique is a well established technique for processing and modifying materials at micro- and nanoscale. FIB implantation with 30 keV Ga+ ions into a single crystal diamond has been studied via a combination of transmission electron microscopy (TEM) imaging and spectroscopy in the attempt to understand the damage formation in diamond. The damage formation has been studied as a function of implantation dose with eight different doses ranging from 6 × 1014 to 1 × 1016 ions/cm2. The TEM studies have revealed different structure of low-dose and high-dose implanted regions. 3.5 nm diamond cap layer was observed in the low-dose implanted layer. TEM analysis has shown volume extension of around 50% in the amorphous region and up to 7% in diamond at the crystal-amorphous interface. The density of amorphous damage layer was measured to be 2.51 g/cm3 and 2.24 g/cm3 in the low-dose and high-dose implanted regions, respectively. The amorphisation threshold for ion implantation in diamond at room temperature was determined to be 5.2 × 1022 vacancies/cm3.  相似文献   

11.
This study deals with the ion beam irradiation effect on gas permeation properties of polyimide films. 2 MeV α, 500 keV, and 170 keV N+ ions were used for modifying the membranes. It was found that there are two different effects according to the implantation dose. In the case of small-dose irradiation, ion implantation causes a raise of permeability both for CH4 and H2. When the implantation dose reaches a more important level, the implanted membranes have at the same time high permselectivity for H2/CH4 and high permeability for H2. The relationships between the permeation properties and microstructure of the films are also discussed. © 1995 John Wiley & Sons, Inc.  相似文献   

12.
Single crystal <100> diamond samples were implanted with a total fluence of 1.5 × 1016  ions/cm2 at single energy of 150 keV using carbon ions. This implantation fluence created a damage density that would not restore the diamond structure after annealing. Surface Brillouin scattering studies show that the elastic properties of the highly damaged diamond layer starts to transit from diamond-like to amorphous carbon state at an annealing temperature of 500 °C. The amorphous carbon layer is shown to have a sound velocity (elastic properties) similar to those reported for tetrahedral amorphous carbon (ta-C). Raman spectroscopy, EELS and HRTEM has been used in conjunction with the SBS data to monitor the changes in the carbon implanted diamond at different annealing temperatures.  相似文献   

13.
《Ceramics International》2023,49(16):26654-26664
Xenon Focused Ion Beam (Xe-FIB) processing of 4H–SiC is an emerging technique with great potential for various applications. In this study, we investigate the evolution mechanism of damage caused by xenon ion implantation in 4H–SiC using a combination of molecular dynamics (MD) simulation and Raman spectroscopy. The study explores the microscopic mechanisms of damage processing and repairs using the proper potential function and the optimized simulation model. The MD simulation reveals that the vacancy and interstitial sites of silicon and carbon atoms, as identified by the Wigner-Seitz defect method, increase linearly with implanted dose until the dose reaches 2 × 1014 ions/cm2. Subsequently, the growth rate of each defect site in the damaged area slows down and eventually comes to a saturation state with a continuous increase in dose. The growth rate of the amorphous region also slows down with the constant increase in dose, similar to the results obtained through variable temperature Raman spectroscopy characterization experiments on 4H–SiC (0001) nitrogen-doped substrates implanted with different doses of xenon ions. Furthermore, unlike light ions such as hydrogen and helium, Xe ions cause significant damage to the inside of 4H–SiC, resulting in the inability to produce structurally complete silicon vacancy defects. Our findings provide insights into the fundamental mechanism of Xe-FIB processing and have implications for future applications in semiconductor technology.  相似文献   

14.
Li ion conducting polymer electrolyte films were prepared based on poly(vinyl alcohol) (PVA) with 5, 10, 15, 20, 25 and 30 wt% lithium iron phosphate (LiFePO4) salt using a solution-casting technique. X-ray diffraction (XRD) was used to determine the complexation of the polymer with LiFePO4 salt. Differential scanning (DSC) calorimetry was used to determine the melting temperatures of the pure PVA and complexed films. The maximum ionic conductivity was found to be 1.18 × 10−5 S cm−1 for (PVA:LiFePO4) (75:25) film, which increased to 3.12 × 10−5 S cm−1 upon the addition of propylene carbonate (PC) plasticizer at ambient temperature. The Li+ ion transport number was found to be 0.40 for (PVA: LiFePO4) (75:25) film using AC impedance and DC polarization methods. Dielectric studies were performed for these polymer electrolyte films in the frequency range of 10 Hz to 10 MHz at different temperatures. The activation energies of the complexed films were calculated from the dielectric loss tangent spectra and were found to be 0.35, 0.30, 0.27 and 0.28 eV. The cyclic voltammogram (CV) curves of (PVA: LiFePO4) (75:25)+PC film exhibited higher specific capacities than those for other films.  相似文献   

15.
Ion beam mixing was used to improve the adhesion between deposited Cu film (400 Å) and polyimide (PI) substrate. Ar+ ion with the energy levels between 180 and 200 keV, and the dose between 1014 to 4 × 1016 ions/cm2 were used. The surface analyses were carried out by Rutherford Backscattering Spectroscopy (SEM). RBS analysis, using 2 MeV He+ ions, showed mixing of Cu and FI and the mixing depended on the Ar+ energy and dose. The X-ray study showed a very broad halo for deposited Cu film but the (111) peak appeared after the Ar+ implantation and the peak increased with Ar+ ion dose. Optical micrographs showed that Cu film formed circular bubbles after many thermal cycles when adhesion was poor and fracture cracks when adhesion was good.  相似文献   

16.
A dense organic film was prepared by plasma polymerization of pyrrole. A 20 keV I+ implantation at a fluence of 1 × 1016 ions cm−2 was used to produce a conducting surface layer due to doping. The characteristics of the implanted layer have been investigated using ion beam analysis techniques, X-ray photoelectron spectroscopy, and near-infrared to ultraviolet spectroscopy. The charge carriers transport in this implanted layer was also analyzed in the temperature region of 120 to 297 K. © 1998 John Wiley & Sons, Inc. J. Appl. Polym. Sci. 69: 1743–1751, 1998  相似文献   

17.
Polyethylene samples implanted with 150 keV F+ ions to the doses 1011−1015 cm−2 were doped with iodine by exposing them to iodine vapors at 90°C for 3 h. The iodine depth profiles, measured by Rutherford back-scattering techniques, evolve dramatically with increasing implanted doses, from “bumpy” profiles at lower fluences to a “depleted” one comprising two concentration maxima with no iodine in between observed at highest dose. The areal density of iodine incorporated into the 500-nm-thick surface layer is proportional to the ion dose for the doses ≤ 1 × 1013 cm−2 and it achieves a saturation or declines at higher doses. The results support the concept of enhanced iodine diffusion in the radiation-damaged surface layer and its trapping on the radiation defects within. The sheet resistivity of as-implanted PE is practically constant, independent of the implanted dose. Iodine doping of the ion-implanted PE samples results in immediate, strong decrese of the sheet resistivity by 3–4 orders of magnitude which, however, is not stable. The measured temperature dependence of the sheet resistance indicates p-semiconducting character of ion-implanted and iodinated samples at the temperatures below the PE melting point. The iodine redistribution and/or escape with increasing temperature is observed. © 1996 John Wiley & Sons, Inc.  相似文献   

18.
Room-temperature ferromagnetism in WO3 films was enhanced by 130 keV Ar+ ion irradiation. The X-ray diffraction (XRD) and Raman measurements not only confirmed the monoclinic phase of the irradiated WO3 films, but also showed that oxygen vacancy (VO) defects were formed. The analysis of photoluminescence spectra strongly reconfirmed the presence of oxygen vacancy. X-ray photoelectron spectroscopy (XPS) measurements revealed that the contents of VO and induced W5+ ions increase with increasing irradiation fluence and rich W5+-VO defect complexes in the irradiated WO3 films were formed. Further, the magnetic measurements exhibited a 2-fold enhancement in the saturation magnetization at the largest fluence of 3 × 1016 ions/cm2. At lower irradiation fluence, a bound magnetic polaron model was proposed to reveal the ferromagnetic exchange coupling resulting from overlapping of VO+ and VO++ defect states, and 5d1 states of W5+. At high irradiation fluence, the carrier concentration reaches 1.02 × 1020/cm3 and carrier-mediated exchange interactions result in the film's ferromagnetism.  相似文献   

19.
Polycrystalline 3C silicon carbide (SiC) was implanted at room temperature with 400 keV cesium ions to a dose of 1016 ions/cm2. The samples were annealed at 600°C–1000°C for times up to 48 h to observe changes in the implantation zone crystallinity and density. The implanted regions were characterized by transmission electron microscopy (TEM) and secondary ion mass spectroscopy (SIMS) before and after annealing. It is shown that the implantation resulted in a 217 ± 2 nm amorphous region with microstructural damage extending to ~250 nm below the surface. Recrystallization of the amorphous region was observed to begin at 725°C. Densification was determined indirectly through changes in the measured implantation zone thickness. Measurable thickness, or densification, of the implanted region was not observed until temperatures greater than ~800°C. The SiC recrystallization began at the interface between the amorphous, damaged region, and the underlying polycrystalline material. Image analysis was used to quantify the fraction of crystalline phase as a function of time and temperature. The recrystallization kinetics exhibited Arrhenius dependency with an apparent activation energy of 480 kJ/mol. SIMS demonstrated that 60%–70% of the cesium was retained within the recrystallized microstructure after thermal annealing.  相似文献   

20.
Fluorescence from negatively-charged nitrogen-vacancy centers (NVs) in diamonds has unique optical properties with none of the undesirable effects such as photo-bleaching and photo-blinking. In addition, the spin-dependent fluorescence intensity of NVs allows us to perform optically detected magnetic resonance (ODMR) investigation for evaluating the presence of NVs and for the electronic local environment. In this work, we irradiated H+, He+, Li+ and N+ ions to nanodiamonds with a median size of 26 nm at various irradiation energies and doses for improving the NV concentration. ODMR observations of the nanodiamonds showed that ion irradiation increased the number of nanodiamonds containing NVs up to 200 ppm, whereas without ion irradiation, only few NVs were found. The number of nanodiamonds containing NVs at various ion irradiation doses was not monotonous, but had maxima at certain irradiation doses. These results suggest a competition in two opponent roles of vacancies, effective for pairing with nitrogen atoms and as defects for developing damage in crystalline. We also found that sharp and strong ODMR signals were obtained from nanodiamonds irradiated at the optimal condition for the highest yield of NVs. We concluded that He+ ion irradiations with 60 or 80 keV at a dose of 1 × 1013 ions cm–2 are the conditions required for the most efficient production of a high quantity of nanodiamonds containing NVs.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号