首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Hydrogenated amorphous carbon (a-C:H) and nitrided amorphous carbon (a-C:N) films have been synthesized on quartz substrates at a substrate temperature of 700 °C using a catalytic chemical vapor deposition (Cat-CVD) method. Raman spectra of a-C:H films showed two principal bands, the G-band at 1600 cm−1 and the D-band at 1350 cm−1. Those of a-C:N films showed similar spectra, with a G′ band at 1640 cm−1, the peak energy of which is higher than that of the G-band in a-C:H. The intensity ratio /ID, which is a measure of the degree of order in a-C:H, decreased for a-C:H with increasing CH4/H2 gas-flow ratio. On the contrary, the /ID ratio increased with increasing CH4/H2 gas-flow ratio.  相似文献   

2.
M. Bauer  S.G. Thomas 《Thin solid films》2012,520(8):3139-3143
We present a catalyst enhanced etch process with high etch rates for amorphous Si based alloys (e.g. α-Si, α-Si:C, α-Si:P, α-SiCP) and low etch rates for crystalline Si (e.g. c-Si, c-Si:C, c-Si:P, c-SiCP) with etch rate ratios up to ~ 200. The addition of a suitable surface catalyst such as Ge (e.g. from GeH4) during HCl based etch processes increases both, the etch rate of amorphous Si alloys and the etch rate selectivity against c-Si alloys. The Ge source dynamically forms a SiGe surface layer during the etch process. Ge penetrates fast into α-Si through diffusion, forming an α-SiGe film with high [Ge] concentration. Ge diffusion into c-Si however is very limited; a rather slow surface-sub-surface exchange reaction (segregation) causes a penetration depth of only one monolayer. Repeated cycles of a selective chemical vapor etch process following a non-selective deposition process enable effective selective epitaxial growth.  相似文献   

3.
Hydrogenated amorphous carbon (a-C:H) films were deposited by plasma enhanced chemical vapor deposition from methane, argon diluted methane, and nitrogen diluted methane at 26.7 Pa with a 13.56 MHz RF power supply. In this pressure regime, multiple-scattering of carbon species within the plasma phase is expected during the transport to the substrates placed on both the driven and the earthed electrodes. These films were analyzed using UV-VIS optical transmittance, monochromatic ellipsometry, Raman spectroscopy and current-voltage measurements. From these results, the effect of the plasma conditions and the effective flux of the carbon species controlled by the input power through the negative self bias are found to be important in the deposition process. The growth conditions at the higher pressure regime are important to synthesize a-C:H films from low energetic carbon species, since it reduces the defect density and improves the quality of the films. Furthermore, the effect of nitrogen on the growth conditions of a-C:H:N films is observed.  相似文献   

4.
Diamond-like quality films have been deposited by low pressure Radio Frequency-Plasma Enhanced Chemical Vapor Deposition using the non-conventional CH4-CO2 mixture as gas precursor, associated with an innovative bipolar pulsed biasing technology. A comprehensive study was performed in search for the best precursors composition and process parameters together with a detailed chemical, structural and mechanical characterization of the films. We gained experimental evidences on the key role performed by a bipolar pulsed bias in creating more energetic conditions in the plasma, allowing obtaining at lower voltages material properties comparable to or even better than those achieved with higher biases but applied in the traditional continuous mode. This is achieved especially when combining such a biasing technology with plasmas containing oxygen bearing species, which provide both positively and negatively charged ions in the gas phase.  相似文献   

5.
Hydrogenated amorphous silicon (a-Si:H) films were prepared by changing substrate temperature of plasma-enhanced chemical vapor deposition to induce different contents of monohydride and polyhydride bonds, which were then crystallized into polysilicon (poly-Si) films by rapid energy transfer annealing. Fourier transform infrared and transmission spectra show that the formation of numerous polyhydride bonds increases the hydrogen content and reduces the refractive index of a-Si:H films. The rise in the concentration of polyhydride bonds in as-deposited a-Si:H films can result in the increase of ultraviolet reflectance, small peak shift, and change in full width at half maximum of Raman scattering and X-ray diffraction peaks of the obtained poly-Si films after annealing. These results demonstrate that high-concentration polyhydride bonds can promote the rapid crystallization of a-Si:H and obtain high-crystallinity poly-Si films. Transmission electron microscopy identifies that the poly-Si films have the typical dendrite-like grain structure.  相似文献   

6.
In this study diamond-like carbon (DLC) films were deposited by a dual-mode (radio frequency/microwave) reactor. A mixture of hydrogen and methane was used for deposition of DLC films. The film structure, thickness, roughness, refractive index of the films and plasma elements were investigated as a function of the radio frequency (RF) and microwave (MW) power, gas ratio and substrate substance. It was shown that by increasing the H2 content, the refractive index grows to 2.63, the growth rate decreases to 10 (nm/min) and the surface roughness drops to 0.824 nm. Taking into consideration the RF power it was found that, as the power increases, the growth rate increases to 11.6 (nm/min), the variations of the refractive index and the roughness were continuously increasing, up to a certain limit of RF power. The Raman G-band peak position was less dependent on RF power for the glass substrate than that of the Si substrate and a converse tendency exists with increasing the hydrogen content. Adding MW plasma to the RF discharge (dual-mode) leads to an increase of the thickness and roughness of the films, which is attributed to the density enhancement of ions and radicals. Also, optical emission spectroscopy is used to study the plasma elements.  相似文献   

7.
Amorphous carbon layers (ACLs) were prepared by plasma enhanced chemical vapor deposition (PECVD) from 1-hexene (C6H12) and propylene (C3H6) as a carbon source at different temperatures for dry etch hard mask of semiconductor devices manufacturing process. The deposition rate of ACL deposited at 550 °C from C6H12 and C3H6 was 5050 Å/min and 6360 Å/min. Although the deposition rate of ACL deposited from C6H6 was lower than that from C3H6, normalized deposition rate of ACL deposited from C6H12 was 1.64 times higher than that from C3H6. The relative amount of hydrocarbon contents measured by FTIR (Fourier transformation infrared) and TDS (thermal desorption spectroscopy) was decreased with the increase of deposition temperature. Raman results showed that the numbers and size of graphite cluster of ACLs deposited from each source were increased with the increase of deposition temperature. The extinction coefficient of ACL deposited at 550 °C from C6H12 was 0.51 and that from C3H6 was 0.48. The density of ACL deposited at 550 °C from C6H12 was 1.48 g/cm3 and that from C3H6 was 1.45 g/cm3. The dry etching rate of ACL deposited at 550 °C from C6H12 was 1770 Å/min and that from C3H6 was 1840 Å/min. The deposition rate, dry etch rate and the amount of hydrocarbon contents of ACLs deposited from each carbon source were decreased with the increase of deposition temperature but extinction coefficient and density were increased with the increase of deposition temperature. We concluded that the variation behavior of the deposition characteristics and film properties of ACLs from C6H12 with the increase of deposition temperature was the same as those of ACLs from C3H6. The high density and low dry etch rate of ACL from C6H12 can be explained by less hydrocarbon incorporation during deposition and these properties are more favorable for the dry etch hard mask application in semiconductor device fabrication.  相似文献   

8.
Amorphous carbon layers (ACL) were deposited on Si (100) wafers by plasma enhanced chemical vapor deposition (PECVD) by using 1-hexene (C6H12) as a carbon source for dry etch hard mask of semiconductor devices manufacturing process. The deposition characteristics and film properties were investigated by means of ellipsometry, Raman spectroscopy, X-ray photo electron spectroscopy (XPS) and stress analysis. Hardness, Young's modulus, and surface roughness of ACL deposited at 550 °C were investigated by using nano-indentation and AFM. The deposition rate was decreased from 5050 Å/min to 2160 Å/min, and dry etch rate was decreased from 2090 Å/min to 1770 Å/min, and extinction coefficient was increased from 0.1 to 0.5. Raman analysis revealed a higher shift of the G-peak and a lower shift of the D-peak and the increase of I(D)/I(G) ratio as the deposition temperature was increased from 350 °C to 550 °C. XPS results of ACL deposited at 550 °C revealed a carbon 1s binding energy of 284.4 eV. The compressive film stress was decreased from 2.95 GPa to 1.28 GPa with increasing deposition temperature. The hardness and Young's modulus of ACL deposited at 550 °C were 5.8 GPa and 48.7 GPa respectively. The surface roughness RMS of ACL deposited at 550 °C was 2.24 Å, and that after cleaning in diluted HF solution (H2O:HF = 200:1), SC1 (NH4OH:H2O2:H2O = 1:4:20) solution, and sulfuric acid solution (H2SO4:H2O2 = 6:1) was 2.28 Å, 2.30 Å and 7.34 Å, respectively. The removal amount of ACL deposited at 550 °C in diluted HF solution, SC1 solution and sulfuric acid solution was 6 Å, 36 Å and 110 Å, respectively. These results demonstrated the viability of ACL deposited by PECVD from C6H12 at 550 °C for application as the dry etch hard mask in fabrication of semiconductor devices.  相似文献   

9.
We investigated amorphous silicon carbide (a-SiC:H) thin films deposited by plasma-enhanced chemical vapor deposition (PECVD) as protective coatings for harsh environment applications. The influence of the deposition parameters on the film properties was studied. Stoichiometric films with a low tensile stress after annealing (< 50 MPa) were obtained with optimized parameters. The stability of a protective coating consisting of a PECVD amorphous silicon oxide layer (a-SiOx) and of an a-SiC:H layer was investigated through various aging experiments including annealing at high temperatures, autoclave testing and temperature cycling in air/water vapor environment. A platinum-based high-temperature metallization scheme deposited on oxidized Si substrates was used as a test vehicle. The a-SiOx/a-SiC:H stack showed the best performance when compared to standard passivation materials as amorphous silicon oxide or silicon nitride coatings.  相似文献   

10.
Carbon nanotube (CNT) films were grown on nickel foil substrates by thermal chemical vapor deposition (CVD) with acetylene and hydrogen as the precursors. The morphology and structure of CNTs depending on the acetylene flow rate were characterized by a scanning electron microscope (SEM), a transmission electron microscope (TEM) and a Raman spectrometer, respectively. The effect of acetylene flow rate on the morphology and structure of CNT films was investigated. By increasing the acetylene flow rate from 10 to 90 sccm (standard cubic centimeter per minute), the yield and the diameter of CNTs increase. Also, the defects and amorphous phase in CNT films increase with increasing acetylene flow rate. Translated from Journal of Inorganic Materials, 2006, 21(1): 75–80 [译自: 无机材料学报]  相似文献   

11.
The present work provides results of amorphous hydrogenated carbon (a-C:H) films deposited by direct ion beam deposition method. Hexane (C6H14+Hdelivery) or acetylene (C2H2) precursors and their mixture with hydrogen (H2) were used. The films were characterized by Raman spectroscopy (RS), ellipsometry, and electrical resistance measurements. RS indicates increase in sp3/sp2 bonding ratio and disorder in graphite clusters, upon increasing of hydrogen content (from 0% to 50% for acetylene precursor) in the deposition gas mixture. The opposite trend is observed when the hydrogen concentration exceeded 50% (for acetylene) or additional hydrogen was added (for hexane). The data of electrical resistance measurements support the correlations defined by RS.  相似文献   

12.
a-C:H and a-C:H/SiOx nanocomposite thin films were deposited on silicon, aluminum and polyimide substrates at 25 °C in an asymmetric 13.56 MHz r.f.-driven plasma reactor under heavy ion bombardment. Fourier transform infrared spectra of the films indicate that the nanocomposite filmsappears to consist of an atomic scale random network of a-C:H and SiOx. Raman spectroscopy revealed that the sp2 carbon fraction in the nanocomposite film was reduced compared with the a-C:H film. The intrinsic stress of both films increased with increasing negative bias voltage (−Vdc) at the substrate. However, the nanocomposite films exhibited lower intrinsic stress compared w with a-C:H-only films. Especially, a thin SiOx-rich interlayer was very effective in reducing the film stress and enhancing the bonding strength at the interface. The interlayer allowed deposition of thick films of up to 5 μm. Also, the nanocomposite films were stable in 0.1 M NaOH solution and showed good microhardness.  相似文献   

13.
This work shows the effect of the annealing temperature and atmosphere on the properties of r.f. magnetron sputtered indium-zinc oxide (IZO) thin-films of two types: one a conductive film (as-deposited, room temperature) that exhibits a resistivity of 3.5 × 10− 4 Ω cm; the other, a semiconductor film with a resistivity ∼ 102 Ω cm. The annealing temperatures were changed between 125 and 500 °C. Crystallization of the more conductive films was already noticeable at temperatures around 400 °C. Three different annealing atmospheres were used — vacuum, air and oxygen. For the conductive films, only the oxygen atmosphere was critical, leading to an increase of the electrical resistivity of more than one order of magnitude, for temperatures of 250 °C and above. Concerning the semiconductor films, both temperature and atmosphere had a strong effect on the film's properties, and the resistivity of the annealed films was always considerably smaller than the as-deposited films. Finally, some results of the application of these films to transparent TFTs are shown.  相似文献   

14.
We report ultrafast optical measurements of the thermal conductivity and longitudinal sound velocity for a-SiC:H thin films deposited by plasma enhanced chemical vapor deposition (PECVD). Porous and non-porous films with mass densities ranging from 1.0-2.5 g/cm3 were obtained by intentionally varying the PECVD process conditions. The longitudinal sound velocities for these materials as determined by picosecond ultrasonics ranged from 2370 m/s to 10460 m/s, and the Young's modulus determined from the sound velocity measurements ranged from 5-200 GPa. Time domain thermoreflectance measurements determined the thermal conductivity to range from 0.0009 W/cmK to 0.042 W/cmK.  相似文献   

15.
We explore the (near-)interface structure of amorphous/crystalline silicon (a-Si:H/c-Si) heterojunctions as employed in high-efficiency heterojunction solar cells. We make use of secondary-ion-mass-spectroscopy profiles and minority carrier lifetime measurements taken on undoped deuterated amorphous silicon [(i)a-Si:D] layers deposited on c-Si from deuterated silane at identical conditions as the hydrogenated layers we have analyzed previously [T. F. Schulze et al., Appl. Phys. Lett. 96 (2010) 252102]. We briefly discuss the implications of the local interface structure for the c-Si surface passivation as well as for the heterojunction band offsets, and identify a route towards optimization of (i)a-Si:H layers as passivating buffers in a-Si:H/c-Si high-efficiency heterojunction solar cells.  相似文献   

16.
Abstract

Carbon films are deposited on silica glass fibers by thermal chemical vapor deposition using pure methane as the precursor gas, and the individual effect of coating thicknesses and deposition temperatures on Raman spectra of carbon films is investigated. The results show that if the temperature is fixed at 950°C, the D peak position, the full‐width‐at‐half maximum of D band, and the integrated intensity ratio of the D band to the G band increase with increasing the coating thickness. This is because the size and number of particles grown on the carbon film surface increase with increasing the coating thickness and the carbon film becomes more disordered. Alternatively, if the coating thickness is fixed at 1200 nm, the D peak position, the full‐width‐at‐half maximum of D band, and the integrated intensity ratio of the D band to the G band decrease with increasing the deposition temperature. This indicates that the carbon film becomes more ordered and its nano‐grain size increases as the deposition temperature increases from 925 to 1025°C.  相似文献   

17.
The paper presents investigations of the optical properties of thin high-refractive-index silicon nitride (SiNx) and diamond-like carbon (DLC) films deposited by the radio-frequency plasma-enhanced chemical vapor deposition method for applications in tuning the functional properties of optical devices working in the infrared spectral range, e.g., optical sensors, filters or resonators. The deposition technique offers the ability to control the film's optical properties and thickness on the nanometer scale. We obtained thin, high-refractive-index films of both types at deposition temperatures below 350 °C, which is acceptable under the thermal budget of most optical devices. In the case of SiNx films, it was found that for short deposition processes (up to 5 min long) the refractive index of the film increases in parallel with its thickness (up to 50 nm), while for longer processes the refractive index becomes almost constant. For DLC films, the effect of refractive index increase was observed up to 220 nm in film thickness.  相似文献   

18.
Hydrogenated microcrystalline silicon thin films have been prepared by plasma-enhanced chemical vapor deposition at relatively low deposition temperatures (180 °C). Helium dilution of silane, instead of the more commonly approach of hydrogen dilution, has been used to promote microcrystalline growth. The effect of the applied radio frequency power (RFP) and the total gas flow on the structural, optical and electrical characteristics have been studied. As observed from the structural measurements, microcrystalline growth is favored as the applied RFP is increased and/or the total gas flow is decreased. Increasing the RFP however, brings associated an increase in the defect density in the amorphous tissue surrounding the crystalline grains and/or an increase in intra-grain defects as deduced from the structural, optical and electrical measurements. Microcrystalline growth and defect formation is rationalize in terms of the He* deexcitation process and high energy He+ ions bombardment.  相似文献   

19.
We carried out the preparation and characterization of new carbon films deposited using an organic molecular beam deposition apparatus with very high substrate temperature (from room temperature to 2670 K), which we newly developed. When we irradiated molecular beam of organic semiconductor perylene tetracarboxylic acid dianhydride (PTCDA) on Y0.07Zr0.93O2 (111) at 2170 K, a new carbon material was formed via decomposition and fusing of the molecules. The films were characterized with an atomic force microscope (AFM), Raman spectroscopy, X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS). Zirconium carbide (ZrC) films were identified beneath the topmost carbon layer by XRD and XPS analyses, which results from chemical reactions of the substrate and the molecules. Partially graphitized aromatic rings of PTCDA were observed from Raman spectroscopy. The present technique – very high temperature chemical vapor deposition using organic semiconductor sources – will be useful to study a vast unexplored field of covalent carbon solids.  相似文献   

20.
Transparent conductive films of Al-doped ZnO (AZO) were deposited onto inexpensive soda-lime glass substrates by radio frequency (rf) magnetron sputtering using a ZnO target with an Al content of 3 wt%. The Taguchi method with a L9 orthogonal array, signal-to-noise (S/N) ratio and analysis of variance (ANOVA) were employed to examine the performance characteristics of the coating operations. This study investigated the effect of the deposition parameters (rf power, sputtering pressure, thickness of AZO films, and substrate temperature) on the electrical, structural, morphological and optical properties of AZO films. The grey-based Taguchi method showed the electrical resistivity of AZO films to be about 9.15 × 10−3 Ω cm, and the visible range transmittance to be about 89.31%. Additionally, the films were annealed in a vacuum ambient (5.0 × 10−6 Torr) at temperatures of 400, 450, 500 and 600 °C, for a period of 30 min. It is apparent that the intensity of the X-ray peaks increases with annealing treatment, leading to improved crystallinity of the films. By applying annealing at 500 °C in a vacuum ambient for 30 min, the AZO films show the lowest electrical resistivity of 2.31 × 10−3 Ω cm, with about 90% optical transmittance in the visible region and a surface roughness of Ra = 12.25 nm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号