首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
1/f noise magnitude in a 15 μm×0.5 μm PMOSFET was remarkably reduced by simply adding a cleaning step using an ammonia hydrogen peroxide mixture (APM) prior to gate oxidation. Gate input-referred noise level for APM-finished PMOSFETs at f=10 Hz was around -128 dBV2/Hz whereas for standard, HF-finished devices, the level was around -114 dBV2/Hz. Flat-band voltages (VFBs) determined by a capacitance-voltage (C-V) measurement were -0.19 V for an APM-finished PMOS and -0.34 V for a HF-finished PMOS. Based on the VFB values, interface state densities were determined to be Nit=3.02×1011 cm-2 for APM-finished PMOS and Nit=6.47×1011 cm-2 for HF-finished PMOS. Lower interface state density obtained by the APM preoxidation cleaning is consistent with the remarkable reduction in the 1/f noise magnitude  相似文献   

2.
The electrical properties of MOS capacitors with an indium tin oxide (ITO) gate are studied in terms of the number density of the fixed oxide charge and of the interface traps Nf and N it, respectively. Both depend on the deposition conditions of ITO and the subsequent annealing procedures. The fixed oxide charge and the interface-trap density are minimized by depositing at a substrate temperature of 240°C at low power conditions and in an oxygen-rich ambient. Under these conditions, as-deposited ITO films are electrically conductive. The most effective annealing procedure consists of a two-step anneal: a 45-s rapid thermal anneal at 950°C in N2, followed by a 30 min anneal in N2/20% H2 at 450°C. Typical values obtained for Nit and Nf are 4.2×1010 cm-2 and 2.8×1010 cm-2, respectively. These values are further reduced to 1.9×1010 cm-2 and ≲5×109 cm-2, respectively, by depositing approximately 25 nm polycrystalline silicon on the gate insulation prior to the deposition of ITO  相似文献   

3.
Encapsulated rapid thermal annealing (RTA) has been used in the fabrication of indium phosphide (InP) power metal-insulator-semiconductor field-effect transistors (MISFETs) with ion-implanted source, drain, and active channel regions. The MISFETs had a gate length of 1.4 μm. Six to ten gate fingers per device, with individual gate finger widths of 100 or 125 μm, were used to make MISFETs with total gate widths of 0.75, 0.8, or 1 mm. The source and drain contact regions and the channel region of the MISFETs were fabricated using silicon implants in semi-insulating InP at energies from 60 to 360 keV with doses from 1×1012 to 5.6×1014 cm-2. The implants were activated using RTA at 700°C for 30 s in N2 or H2 ambients using a silicon nitride encapsulant. The high-power, high-efficiency MISFETs were characterized at 9.7 GHz, and the output microwave power density for the RTA conditions used was as high as 2.4 W/mm. For a 1-W input at 9.7 GHz gains up to 3.7 dB were observed, with an associated power-added efficiency of 29%. The output power density was 70% greater than that reported for GaAs MESFETs  相似文献   

4.
Nitrogen implantation on the silicon substrate was performed before the gate oxidation at a fixed energy of 30 keV and with the split dose of 1.0×1014/cm2 and 2.0×1014 /cm2. Initial O2 injection method was applied for gate oxidation. The method is composed of an O2 injection/N2 anneal/main oxidation, and the control process is composed of a N2 anneal/main oxidation. CMOS transistors with gate oxide thickness of 2 nm and channel length of 0.13 μm have been fabricated by use of the method. Compared to the control process, the initial O2 injection process increases the amount of nitrogen piled up at the Si/SiO2 interface and suppresses the growth of gate oxide effectively. Using this method, the oxidation retarding effect of nitrogen was enhanced. Driving currents, hot carrier reliability, and time-zero dielectric breakdown (TZDB) characteristics were improved  相似文献   

5.
A new post-metallization annealing technique was developed to improve the quality of metal-oxide-semiconductor (MOS) devices using SiO 2 films formed by a parallel-plate remote plasma chemical vapor deposition as gate insulators. The quality of the interface between SiO2 and crystalline Si was investigated by capacitance-voltage (C-V) measurements. An H2O vapor annealing at 270°C for 30 min efficiently decreased the interface trap density to 2.0×1010 cm-2 eV-1, and the effective oxide charge density from 1×10 12 to 5×109 cm-2. This annealing process was also applied to the fabrication of Al-gate polycrystalline silicon thin film transistors (poly-Si TFT's) at 270°C. In p-channel poly-Si TFT's, the carrier mobility increased from 60-400 cm2 V-1 s-1 and the threshold voltage decreased from -5.5 to -1.7 V  相似文献   

6.
N-channel metal oxide semiconductor field effect transistors (MOSFETs) using Ta2O5, gate oxide were fabricated. The Ta2O5 films were deposited by plasma enhanced chemical vapor deposition. The IDS-VDS and IDS-VGS characteristics mere measured. The electron mobility was 333 cm2/V·s. The subthreshold swing was 73 mV/dec. The interface trapped charge density, the surface recombination velocity, and the minority carrier lifetime in the field-induced depletion region measured from gated diodes were 9.5×1012 cm-2 eV-1, 780 cm/s and 3×10-6 sec, respectively. A comparison with conventional MOSFETs using SiO2 gate oxide was made  相似文献   

7.
We have fabricated buried channel (BC) MOSFETs with a thermally grown gate oxide in 4H-SiC. The gate oxide was prepared by dry oxidation with wet reoxidation. The BC region was formed by nitrogen ion implantation at room temperature followed by annealing at 1500°C. The optimum doping depth of the BC region has been investigated. For a nitrogen concentration of 1×1017 cm-3, the optimum depth was found to be 0.2 μm. Under this condition, a channel mobility of 140 cm2/Vs was achieved with a threshold voltage of 0.3 V. This channel mobility is the highest reported so far for a normally-off 4H-SiC MOSFET with a thermally grown gate oxide  相似文献   

8.
p+-n junction diodes for sub-0.25-μm CMOS circuits were fabricated using focused ion beam (FIB) Ga implantation into n-Si (100) substrates with background doping of Nb=(5-10)×10 15 and Nb+=(1-10)×1017 cm-3. Implant energy was varied from 2 to 50 keV at doses ranging from 1×1013 to 1×1015 cm-2 with different scan speeds. Rapid thermal annealing (RTA) was performed at either 600 °C or 700°C for 30 s. Diodes fabricated on Nb+ with 10-keV Ga+ exhibited a leakage current (IR) 100× smaller than those fabricated with 50-keV Ga+. Tunneling was determined to be the major current transport mechanism for the diodes fabricated on Nb+ substrates. An optimal condition for IR on Nb+ substrates was obtained at 15 keV/1×1015 cm-2. Diodes annealed at 600°C were found to have an IR 1000× smaller than those annealed at 700°C. I-V characteristics of diodes fabricated on Nb substrates with low-energy Ga+ showed no implant energy dependence. I-V characteristics were also measured as a function of temperature from 25 to 200°C. For diodes implanted with 15-keV Ga +, the cross-over temperatures between Idiff and Ig-r occurred at 106°C for Nb + and at 91°C for Nb substrates  相似文献   

9.
We introduce a new channel engineering design for nano-region SOI and bulk MOSFETs taking into account both carrier velocity overshoot and statistical performance fluctuations. For types of both device, in the high gate drive region, the high field carrier velocity υe is not degraded at channel dopant density Na lower than 1×1017 cm-3, according to an experimental universal relationship between υe and the low field mobility. On the other hand, there is a most suitable Na condition for suppression of statistical threshold voltage fluctuations. This most suitable Na is slightly higher for SOI devices than that for bulk MOSFETs, but it is lower than 1×10 17 cm-3 in both cases. Therefore, this most suitable Na condition is consistent with the above Na condition for carrier velocity. Consequently, new Na conditions for nano region devices are introduced in this study. Na should be designed to be of the order of 1×1016 cm-3 rather than rising by the usual scaling rule, but it is necessary to suppress the short channel effects of SOI and bulk MOSFETs by scaling down the SOI thickness, and to use source/drain junction depth scaling or surface low impurity structures in bulk MOSFETs, respectively  相似文献   

10.
We have investigated the gate oxide integrity of thermal oxides direct grown on high temperature formed Si0.3Ge0.7. Good oxide integrity is evidenced by the low interface-trap density of 5.9×1010 eV-1 cm-2, low oxide charge density of -5.6×1010 cm-2, and the small stress-induced leakage current after -3.3 V stress for 10 000 s. The good gate oxide integrity is due to the high temperature formed and strain-relaxed Si0.3Ge0.7 that has a original smooth surface and stable after subsequent high temperature process  相似文献   

11.
Key technologies for fabricating polycrystalline silicon thin film transistors (poly-Si TFTs) at a low temperature are discussed. Hydrogenated amorphous silicon films were crystallized by irradiation of a 30 ns-pulsed XeCl excimer laser. Crystalline grains were smaller than 100 nm. The density of localized trap states in poly-Si films was reduced to 4×1016 cm-3 by plasma hydrogenation only for 30 seconds. Remote plasma chemical vapor deposition (CVD) using mesh electrodes realized a good interface of SiO 2/Si with the interface trap density of 2.0×1010 cm-2 eV-1 at 270°C. Poly-Si TFTs were fabricated at 270°C using laser crystallization, plasma hydrogenation and remote plasma CVD. The carrier mobility was 640 cm2/Vs for n-channel TFTs and 400 cm2/Vs for p-channel TFTs. The threshold voltage was 0.8 V for n-channel TFTs and -1.5 V for p-channel TFTs. The leakage current of n-channel poly-Si TFTs was reduced from 2×10-10 A/μm to 3×10-13 A/μm at the gate voltage of -5 V using an offset gate electrode with an offset length of 1 μm  相似文献   

12.
To discuss the applicability of a MOSFET with Si-implanted gate-SiO2 of 50 nm thickness to a non volatile random access memory (NVRAM) operating more than 3.3×1015 erase/write (E/W) cycles, E/W-cycle tests were performed up to 1011 cycles by measuring the hysteresis curve observed in a source follower MOSFET in which a sine-wave voltage of 100 kHz was supplied to the gate. Degradations in the threshold-voltage window of 15 V and gain factor were scarcely observed in a MOSFET with Si-implantation at 50 keV/1×1016 cm-2 at a gate voltage of ±40 V. Those degradations observed in a MOSFET with 25 keV/3×1016 cm-2 were improved by lowering the gate voltage from ±40 V to ±30 V in sacrificing the smaller threshold-voltage window from 20 to 8.5 V  相似文献   

13.
A detailed study of the growth of amorphous hydrogenated fluorinated silicon (a-Si:H, F) from a DC glow discharge in SiF4 and H2 is discussed. The electrical properties of the films can be varied over a very wide range. The bulk properties of the best films that were measured included an Urbach energy Eu =43 meV, a deep-level defect density Ns=1.5×1015 cm-3, and a hole drift mobility of 8×10-3 cm2 V-1 s-1, which reflects a characteristic valence band energy of 36 meV. It was found that Eu, N s, and the density of surface states Nss are related to each other. Under the deposition condition of the films with the best bulk properties, Nss reaches its highest value of 1×1014 cm-2. It is suggested that in growth from SiF4/H2, the density of dangling bonds at the growing surface is very sensitive to the deposition conditions  相似文献   

14.
The effect of the Si-SiO2 interface microroughness on the electron channel mobility of n-MOSFETs was investigated. The surface microroughness was controlled by changing the mixing ratio of NH4 OH in the NH4OH-H2O2-H2O solution in the RCA cleaning procedure. The gate oxide was etched, following the evaluation of the electrical characteristics of MOS transistors, to measure the microroughness of the Si-SiO2 interface with scanning tunneling microscopy (STM). As the interface microroughness increases, the electron channel mobility, which can be obtained from the current-voltage characteristics of the MOSFET, gets lower. The channel mobility is around 360 cm2/V-s when the average interface microroughness is 0.2 nm, where the substrate impurity concentration is 4.5×1017 cm-3, i.e. the electron bulk mobility is 400 cm2/V-s. It goes down to 100 cm2/V-s when the interface microroughness exceeds 1 nm  相似文献   

15.
Five oxide-thickness extrapolation algorithms, all based on the same model (metal gate, negligible interface traps, no quantum effects), are compared to determine their accuracy. Three sets of parameters are used: (acceptor impurity concentration, oxide thickness, and temperature): (1016 cm-3, 250 Å, 300 K), (5×1017 Cm-3, 250 Å, 300 K), and (5×1017 cm-3, 50 Å, 150 K). Demonstration examples show that a new extrapolation method, which includes Fermi-Dirac statistics, gives the most accurate results, while the widely-used Co≃Cg (measured at the power supply voltage) is the least accurate. The effect of polycrystalline silicon gate is also illustrated  相似文献   

16.
The authors study the degradation of MOSFET current-voltage (V-I) characteristics as a function of polysilicon gate concentration (Np ), oxide thickness (tox) and substrate impurity concentration (ND) using measured and modeled results. Experimentally it is found that for MOSFETs with thin gate oxide (tox≈70 Å) and high substrate concentration (ND ≈1.6×1017 cm-3) the reduction in the drain current IDS can be as large as 10% to 20% for devices with insufficiently doped polysilicon gate (5×1018 ⩽Np⩽1.6×1019 cm-3). Theoretically it is shown that the drain current degradation becomes more pronounced as Np decreases, tox decreases, or ND, increases. A modified Pao-Sah model that takes into account the polysilicon depletion effect and an accurate gate-field-dependent mobility model are used to compute I-V characteristics for various values of Np, tox, and ND. Good agreement between experimental and modeled results is observed over a wide range of devices  相似文献   

17.
A novel planar accumulation channel SiC MOSFET structure is reported in this paper. The problems of gate oxide rupture and poor channel conductance previously reported in SiC UMOSFETs are solved by using a buried P+ layer to shield the channel region. The fabricated 6H-SiC unterminated devices had a blocking voltage of 350 V with a specific on-resistance of 18 mΩ.cm2 at room temperature for a gate bias of only 5 V. This measured specific on-resistance is within 2.5× of the value calculated for the epitaxial drift region (1016 cm-3, 10 μm), which is capable of supporting 1500 V  相似文献   

18.
Describes the use of a p-type refractory ohmic contact in ohmic self-aligned devices. The contacts are based on self-aligned diffusion of zinc-doped tungsten film. The diffusion is nearly isotropic in the vicinity of silicon nitride sidewalls, allowing self-alignment of ohmic contacts with emitters and gates. Low-resistance contacts (<10-6 Ω·cm2) are formed both to GaAs and GaAlAs, and the lifetime of the diffused region is superior to that obtained from implantation. Heterostructure bipolar transistors (HBTs) showing high current gains (⩾50 at 2×103 A·cm-2 and ⩾200 at 1×105 A·cm-2 with micrometer-sized emitter widths) and p-channel GaAs gate heterostructure field-effect transistors (HFETs) showing high transconductances (78 mS/mm at 2.2-μm gate length) have been fabricated using this contact  相似文献   

19.
The performance of an innovative delta-doped AlGaN/AlN/GaN heterojunction field-effect transistor (HFET) structure is reported. The epitaxial heterostructures were grown on semi-insulating SiC substrates by low-pressure metalorganic chemical vapour deposition. These structures exhibit a maximum carrier mobility of 1058 cm2/V s and a sheet carrier density of 2.35×1013 cm-2 at room temperature, corresponding to a large ns μn product of 2.49×1016 V s. HFET devices with 0.25 μm gate length were fabricated and exhibited a maximum current density as high as 1.5 A/mm (at VG=+1 V) and a peak transconductance of gm=240 mS/mm. High-frequency device measurements yielded a cutoff frequency of ft≃50 GHz and maximum oscillation frequency fmax≃130 GHz  相似文献   

20.
The characteristics of CMOS devices fabricated in oxygen-implanted silicon-on-insulator (SOI) substrates with different oxygen doses are studied. The results show that transistor junction leakage currents are improved by orders of magnitude when the oxygen dose is decreased from 2.25×1018 cm-2 to 1.4×1018 cm-2 . The floating-body effect, i.e. transistor turn-on at lower gate voltage with dramatic improvement in subthreshold slope when the drain voltage is increased, is enhanced by the reduction in leakage current and hence the oxygen dose. In SOI substrates implanted with 1.4×1017 cm-2 oxygen dose and annealed at 1150°C, back-channel mobilities are decreased by several orders of magnitude compared to the mobilities in the precipitate-free silicon film. These device characteristics are correlated with the microstructure at the silicon-buried-oxide interface, which is controlled by oxygen implantation and post-oxygen-implantation anneal  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号