首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 296 毫秒
1.
Dry etching of indium zinc oxide (IZO) thin films was performed using inductively coupled plasma reactive ion etching in a C2F6/Ar gas. The etch characteristics of IZO films were investigated as a function of gas concentration, coil rf power, dc-bias voltage to substrate, and gas pressure. As the C2F6 concentration was increased, the etch rate of the IZO films decreased and the degree of anisotropy in the etch profile also decreased. The etch profile was improved with increasing coil rf power and dc-bias voltage, and decreasing gas pressure. An X-ray photoelectron spectroscopy analysis confirmed the formation of InF3 and ZnF2 compounds on the etched surface due to the chemical reaction of IZO films with fluorine radicals. In addition, the film surfaces etched at different conditions were examined by atomic force microscopy. These results demonstrated that the etch mechanism of IZO thin films followed sputter etching with the assistance of chemical reaction.  相似文献   

2.
We have studied the reactive ion etching of Ru electrode using O2/Cl2 plasma. We have revealed that the Ru etch rate and thus the Ru to SiO2 etch selectivity increase by increasing pressure, total gas flow rate, temperature and decreasing the HRF power and LRF power. The vertical Ru etching profile is attained.  相似文献   

3.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

4.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

5.
The influence of plasma heating of the Si and glass wafer substrates on silicon dioxide (SiO2) deposition rates by a tetraethylorthosilicate/O2 supermagnetron (high-density) plasma CVD were investigated. With a fixed RF power of 100 W supplied to both upper and lower electrodes, the SiO2 deposition rate on the Si wafer substrate decreased with increasing wafer-stage temperature, showing a negative activation energy for the deposition rate. When Si and glass wafers were attached to the electrode using adherent thermal conductors, the film thickness increased almost linearly with regard to the deposition time, and both deposition rates became almost the same (about 310 Å/min). When both wafers were simply laid on the electrode without an adhesive bond and hence with poor thermal contact, the film thickness increased nonlinearly with deposition time, showing a gradual decrease in deposition rate with time, being as low as 80 and 150 Å/min, respectively for Si and glass wafers, for a deposition time of 15 min. The difference between the two deposition rates on Si and glass wafers in the case of poor thermal contact to the lower electrode is thought to be caused by plasma heating and related mainly to differences in optical absorption characteristics of the two wafer substrates. Variations in measured thickness distributions across the substrate surface were attributed to an antisymmetric plasma density distribution in the direction perpendicular to the magnetic field lines caused by E×B electron drift.  相似文献   

6.
Nanocrystalline silicon thin films (nc-Si:H) were deposited using He as the dilution gas instead of H2 and the effect of the operating pressure and rf power on their characteristics was investigated. Especially, operating pressures higher than 4 Torr and a low SiH4 containing gas mixture, that is, SiH4(3 sccm)/He(500 sccm) were used to induce high pressure depletion (HPD) conditions. Increasing the operating pressure decreased the deposition rate, however at pressures higher than 6 Torr, crystallized silicon thin films could be obtained at an rf power of 100 W. The deposition of highly crystallized nc-Si:H thin film was related to the HPD conditions, where the damage is decreased through the decrease in the bombardment energy at the high pressure and the crystallization of the deposited silicon thin film is increased through the increased hydrogen content in the plasma caused by the depletion of SiH4. When the rf power was set at a fixed operating pressure of 6 Torr, HPD conditions were obtained in the rf power range from 80 to 100 W, which was high enough to dissociate SiH4 fully, but meantime low enough not to damage the surface by ion bombardment. At 6 Torr of operating pressure and 100 W of rf power, the nc-Si:H having the crystallization volume fraction of 67% could be obtained with the deposition rate of 0.28 nm/s.  相似文献   

7.
Amino acid-based carbonaceous films were prepared by the radio-frequency (rf) sputtering of d-phenylalanine under the rf power density from 0.18 to 0.53 W/cm2. As the rf power was increased, the deposition rate tended to increase, especially for 120-W sputtering. The surface of carbonaceous films prepared by high rf power have rugged surfaces following their storage in a vacuum box. The carbonization of the sputtered films progressed with rf power; the oxygen and nitrogen content in the films decreased as rf power increased, as well as the content of CH moieties decreased. Increasing the rf sputtering power enhanced the N2-sorption capability and the viscous character of the carbonaceous films. The quartz crystal resonators coated with the carbonaceous films by the highest rf sputtering power had superior resonance signals toward the N2 sorption-desorption measurement with suppressed noise.  相似文献   

8.
SiO2 thin films were deposited on the inner surfaces of a commercial poly(tetrafluoroethylene) narrow tube with an inner diameter of 0.5 mm using tetraethoxysilane/O2 feedstock gases and He carrier gas by atmospheric-pressure microplasma-enhanced chemical vapor deposition. A glow microplasma was generated inside the tube by radio frequency (RF) capacitively coupled discharge. X-ray photoelectron spectroscopy spectra showed that the tube inner surface was covered by a SiO2 thin film. Transparent SiO2 thin films were obtained with a deposition rate of 230 nm/min at an RF power of 6 W and substrate temperature of 100 °C. The wettability of the SiO2-coated tube was about 3 times as large as that of an untreated sample tube.  相似文献   

9.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

10.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

11.
We investigated the N2 additive effect on the etch rates of TiN and SiO2 and etch profile of TiN in N2/Cl2/Ar adaptively coupled plasma (ACP). The mixing ratio of Cl2 and Ar was fixed at 75 and 25 sccm, respectively. The N2 flow rate was increased from 0 to 9 sccm under the constant pressure of 10 mTorr. As N2 flow rate was increased in N2/Cl2/Ar plasma, the etch rate of TiN was linearly increased, but that of SiO2 was increased non-monotonically. The etch profile and the compositional changes of TiN was investigated with field emission-scanning electron microscope (FE-SEM), FE-Auger electron spectroscopy (FE-AES) and x-ray photoelectron spectroscopy (XPS). When 9 sccm N2 was added into Cl2/Ar, a steep etch profile and clean surface of TiN was obtained. In addition, the signals of TiN and Ti were disappeared in FE-AES and XPS when N2 additive flow into Cl2/Ar was above 6 sccm. From the experimental data, the increase in TiN etch rate was mainly caused by the increase of desorption and evacuation rate of etch by products because of the increased effective pumping speed. The etch mechanism of TiN in N2/Cl2/Ar ACP plasma can be concluded as the ion enhanced chemical etch.  相似文献   

12.
We performed spectroscopic ellipsometric measurement to characterize BaSm2Ti4O12 (BST) thin films grown on Pt/Ti/SiO2/c-Si substrate by rf magnetron sputtering. The six BST films were prepared at various deposition temperatures and thermal annealing times. The resulting refractive indices and extinction coefficients of the BST films show only slight change by the deposition temperature but a significant change after thermal annealing, implying the importance of the post annealing process. The increase of the refractive index can be understood by the higher density of the BST films caused by the crystallization after annealing process.  相似文献   

13.
Elly Gil 《Thin solid films》2010,518(22):6403-6407
SiO2-like thin films were deposited using a modified dielectric barrier discharge with a gas mixture of hexamethyldisilazane (HMDS)/O2/He/Ar and their film characteristics were investigated as functions of the HMDS and O2 flow rates. As the HMDS flow rate was increased, higher amounts of Si-(CH3)x bonds and lower amounts of Si-OH bonds were observed in the deposited SiOx, due to the increase in the amount of the less dissociated HMDS, which also caused an increase of the surface roughness. The addition and increase of the oxygen flow to HMDS/He/Ar brought the stoichiometry of SiOx close to SiO2 and decreased the surface roughness by decreasing the amount of Si-(CH3)x bonds through the increased decomposition and oxidation of HMDS, even though the deposition rate was decreased. However, when the O2 flow rate was higher than a certain threshold, the surface roughness increased again, possibly due to the decrease in the extent of HMDS dissociation caused by the decreased plasma density at the higher oxygen flow rate. By using an optimized gas mixture of HMDS (150 sccm)/O2 (14 slm)/He (5 slm)/Ar (3 slm), SiO2-like thin films with a very low impurity level and having a smooth surface could be obtained with a deposition rate of approximately 42.7 nm/min.  相似文献   

14.
Low refractive index materials which F-doped SiOC:H films were deposited on Si wafer and glass substrate by low temperature plasma enhanced chemical vapor deposition (PECVD) method as a function of rf powers, substrate temperatures, gas flow ratios (SiH4, CF4 and N2O). The refractive index of the F-doped SiOC:H film continuously decreased with increasing deposition temperature and rf power. As the N2O gas flow rate decreases, the refractive index of the deposited films decreased down to 1.378, reaching a minimum value at an rf power of 180 W and 100 °C without flowing N2O gas. The fluorine content of F-doped SiOC:H film increased from 1.9 at.% to 2.4 at.% as the rf power was increased from 60 W to 180 W, which is consistent with the decreasing trend of refractive index. The rms (root-mean-square) surface roughness significantly decreased to 0.6 nm with the optimized process condition without flowing N2O gas.  相似文献   

15.
We have investigated the selective etching of 50 μm diameter via-holes for etch depth >200 μm using 30 μm thick photo resist mask in Inductively Coupled Plasma system with Cl2/BCl3 chemistry. Resultant etch rate/etch profiles are studied as a function of ICP process parameters and photo resist mask sidewall profile. Etch yield and aspect ratio variation with process pressure and substrate bias is also investigated at constant ICP power. The etch yield of ICP process increased with pressure due to reactant limited etch mechanism and reached a maximum of ∼19 for 200 μm depth at 50 mTorr pressure, 950 W coil power, 80 W substrate bias with an etch rate ∼4.9 μm/min. Final aspect ratio of etched holes is increased with pressure from 1.02 at 20 mTorr to 1.38 at 40 mTorr respectively for fixed etch time and then decreased to 1.24 at 50 mTorr pressure. The resultant final etch profile and undercut is found to have a strong dependence on the initial slope of photo resist mask sidewall angle and its selectivity in the pressure range of 20-50mTorr.  相似文献   

16.
In this research, we investigated the TaN etch rate and selectivity with under layer (HfO2) and mask material (SiO2) in inductively coupled CH4/Ar plasma. As the CH4 content increased from 0% to 80% in CH4/Ar plasma, the TaN etch rate was increased from 11.9 to 22.8 nm/min. From optical emission spectroscopy (OES), the intensities for CH [431 nm] and H [434 nm] were increased with the increasing CH4 content from 0% to 100% in CH4/Ar plasma. The results of x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) showed no accumulation of etch by-products from the etched surface of TaN thin film. As a result of OES, AES and XPS analysis, we observed the etch by-products from the surfaces, such as Ta-N-CH and N-CH bonds. Based on the experimental results, the TaN etch was dominated by the chemical etching with the assistance of Ar sputtering in reactive ion etching mechanism.  相似文献   

17.
Diamond-like amorphous carbon (DAC) films were deposited for field-emission application using supermagnetron plasma by mixing N2 or H2 in i-C4H10 gas at the upper and lower electrode rf powers (UPRF/LORF) of 800 W/100-800 W. At an 800 W/800 W, the N2 (0-80%) gas-mixed DAC films showed an emission threshold electric field (ETH) of 19 V/μm. At the 800 W/100 W, the H2 (20%) gas-mixed DAC film showed low ETH's of 13 V/μm, respectively. The moderate reduction of CC and CN double bonds by the decrease of LORF from 800 W to 100 W was found to be effective to lower ETH.  相似文献   

18.
J.H. Lee 《Thin solid films》2006,515(3):917-921
SiOxNy thin films were deposited by inductively coupled plasma enhanced chemical vapor deposition (ICP-PECVD) using hexamethyldisilazane (HMDS, 99.9%)/NH3/O2/Ar at a low temperature, and examined for use as a water vapor diffusion barrier. The film characteristics were investigated as a function of the O2:NH3 ratio. An increase in the O2:NH3 ratio decreased the level of impurities such as -CHx, N-H in the film through a reaction with oxygen. Thereby, a more transparent and harder film was obtained. In addition, an increase in the O2:NH3 ratio decreased the nitrogen content in the film resulting in a more SiO2-like SiOxNy film. Using SiOxNy fabricated with an O2:NH3 ratio of 1:1, a multilayer thin film consisting of multiple layers of SiOxNy/parylene layers was formed on a polyethersulfone (PES, 200 μm) substrate, and its water vapor transmittance rate (WVTR) was investigated. A WVTR < 0.005 g/(m2 day) applicable to organic thin film transistors or organic light emitting diodes was obtained using a multilayer composed of SiOxNy (260 nm)/parylene (< 1.2 μm) on the PES.  相似文献   

19.
J.Y. Son 《Thin solid films》2009,517(11):3262-3264
A highly a-oriented SrBi2Ta2O9 thin film with a polycrystalline structure was deposited on a preferentially oriented (111) Pt/TiO2/SiO2/Si substrate by eclipse pulsed laser deposition (PLD) method. The SrBi2Ta2O9 thin film exhibited flat and smooth surface with the surface roughness of about 0.5 nm resulting from reducing particulates generated by on-axis PLD. The SrBi2Ta2O9 thin film showed a good ferroelectric property with the high remanent polarization of 12 μC/cm2 and the low coercive electric field of 140 kV/cm. For the highly a-oriented SBT thin film, domain switching and reading were performed by Kelvin probe force microscope (KFM). The KFM data indicate a good ferroelectric property of the highly a-oriented SrBi2Ta2O9 thin film with high KFM signals that reflect ferroelectric polarizations.  相似文献   

20.
Ruthenium (Ru) thin films were grown by atomic layer deposition using IMBCHRu [(η6-1-Isopropyl-4-MethylBenzene)(η4-CycloHexa-1,3-diene)Ruthenium(0)] as a precursor and a nitrogen-hydrogen mixture (N2/H2) plasma as a reactant, at the substrate temperature of 270 °C. In the wide range of the ratios of N2 and total gas flow rates (fN2/N2 + H2) from 0.12 to 0.70, pure Ru films with negligible nitrogen incorporation of 0.5 at.% were obtained, with resistivities ranging from ~ 20 to ~ 30 μΩ cm. A growth rate of 0.057 nm/cycle and negligible incubation cycle for the growth on SiO2 was observed, indicating the fast nucleation of Ru. The Ru films formed polycrystalline and columnar grain structures with a hexagonal-close-packed phase. Its resistivity was dependent on the crystallinity, which could be controlled by varying the deposition parameters such as plasma power and pulsing time. Cu was electroplated on a 10-nm-thick Ru film. Interestingly, it was found that the nitrogen could be incorporated into Ru at a higher reactant gas ratio of 0.86. The N-incorporated Ru film (~ 20 at.% of N) formed a nanocrystalline and non-columnar grain structure with the resistivity of ~ 340 μΩ cm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号