首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 281 毫秒
1.
The pattern of ITO transparent electrode of pixel cells in TFTAMLCD is a critical step in the manufacturing process of flat panel display devices,the development of suitable plasma reactive ion etching is necessary to achieve high resolution display.In this work we investigated the Ar/CF4 plasma etching of ITO as function of different parameters.We demonstrated the ability of this plasma to etch ITO and achieved an etching rate of about 3.73nm/min,which is expected to increase for long pumping down period,and also through addition of hydrogen in the plasma.Furthermore we described the ITO etching mechanism in Ar/CF4 plasma.The investigation of selectivity showed to be very low over silicon nitride and silicon dioxide but very high over aluminum.  相似文献   

2.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

3.
The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiNx:H by HF solution. A low etch rate was achieved by increasing the SiH4 gas flow rate or annealing temperature, or decreasing the NH3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for Sit2 and SiNx:H. A high etching selectivity of Sit2 over SiNx:H was obtained using highly concentrated buffered HE  相似文献   

4.
A lithography-independent and wafer scale method to fabricate a metal nanogap structure is demonstrated. Polysilicon was first dry etched using photoresist (PR) as the etch mask patterned by photolithography. Then, by depositing conformal SiO2 on the polysilicon pattern, etching back SiO2 anisotropically in the perpendicular direction and removing the polysilicon with KOH, a sacrificial SiO2 spacer was obtained. Finally, after metal evaporation and lifting-off of the SiO2 spacer, an 82 nm metal-gap structure was achieved. The size of the nanogap is not determined by the photolithography, but by the thickness of the SiO2. The method reported in this paper is compatible with modern semiconductor technology and can be used in mass production.  相似文献   

5.
贺琪  赵文彬  彭力  于宗光 《半导体学报》2013,34(6):066003-4
A comparison is made of several plasma-induced damage(PID) measurement techniques.A novel PID mechanism using high-density plasma(HDP) inter-metal dielectric(IMD) deposition is proposed.The results of a design of experiment(DOE) on Ar pre-clean minimizing PID are presented.For HDP oxide deposition,the plasma damage is minimal,assuring minimal exposure time of the metal line to the plasma using a maximal deposition to sputter ratio.This process induces less PID than classic SOG processing.Ar pre-clean induces minimal plasma damage using minimal process time,high ion energy and high plasma power.For metal etching,an HDP etch is compared to a reactive ion etch,and the impact of the individual process steps are identified by specialized antenna structures.The measurement results of charge pumping,breakdown voltage and gate oxide leakage correlate very well.On metal etching,the reactive ion etching induces less plasma damage than HDP etching.For both reactors, PID is induced only in the metal over-etch step.  相似文献   

6.
The surface and optical properties of silicon nitride samples with different compositions were investigated.The samples were deposited on InP by inductively coupled plasma chemical vapor deposition using different NH3 flow rates.Atomic force microscopy measurements show that the surface roughness is increased for the samples with both low and high NH3 flow rates.By optimization,when the NH3 flow rate is 6 sccm,a smooth surface with RMS roughness of 0.74 nm over a 5 × 5μm2 area has been achieved.X-ray photoelectron spectroscopy measurements reveal the Si/N ratio of the samples as a function ofNH3 flow rate.It is found that amorphous silicon is dominant in the samples with low NH3 flow rates,which is also proved in Raman measurements.The bonding energies of the Si and N atoms have been extracted and analyzed.Results show that the bonding states of Si atoms transfer from Si0 to Si+4 as the NH3 flow rate increases.  相似文献   

7.
A novel dry etching process of a poly-Si/TaN/HfSiON gate stack for advanced complementary metal-oxide-semiconductor(CMOS) devices is investigated.Our strategy to process a poly-Si/TaN/HfSiON gate stack is that each layer of gate stack is selectively etched with a vertical profile.First,a three-step plasma etching process is developed to get a vertical poly-Si profile and a reliable etch-stop on a TaN metal gate.Then different BCl3-based plasmas are applied to etch the TaN metal gate and find that BCl3/Cl2/O2/Ar plasma is a suitable choice to get a vertical TaN profile.Moreover,considering that Cl2 almost has no selectivity to Si substrate, BCl3/Ar plasma is applied to etch HfSiON dielectric to improve the selectivity to Si substrate after the TaN metal gate is vertically etched off by the optimized BCl3/Cl2/O2/Ar plasma.Finally,we have succeeded in etching a poly-Si/TaN/HfSiON stack with a vertical profile and almost no Si loss utilizing these new etching technologies.  相似文献   

8.
正The dry etching characteristic of Al_(1.3)Sb_3Te film was investigated by using a CF_4/Ar gas mixture.The experimental control parameters were gas flow rate into the chamber,CF_4/Ar ratio,the O_2 addition,the chamber background pressure,and the incident RF power applied to the lower electrode.The total flow rate was 50 sccm and the behavior of etch rate of Al_(1.3)Sb_3Te thin films was investigated as a function of the CF_4/Ar ratio,the O_2 addition,the chamber background pressure,and the incident RF power.Then the parameters were optimized.The fast etch rate was up to 70.8 nm/min and a smooth surface was achieved using optimized etching parameters of CF_4 concentration of 4%,power of 300 W and pressure of 80 mTorr.  相似文献   

9.
Reactive Ion Etching of GaAs, GaSb, InP and InAs in Cl2/Ar Plasma   总被引:1,自引:0,他引:1  
Reactive ion etching characteristics of GaAs,GaSb,InP and InAs using Cl_2/Ar plasma have been investigated,it is that,etching rates and etching profiles as functions of etching time,gas flow ratio and RF power.Etch rates of above 0.45 μm/min and 1.2 μm/min have been obtained in etching of GaAs and GaSb respectively, while very slow etch rates (<40 nm/min) were observed in etching of In-containing materials,which were linearly increased with the applied RF power.Etched surfaces have remained smooth over a wide range of plasma conditions in the etching of GaAs,InP and InAs,however,were partly blackened in etching of GaSb due to a rough appearance.  相似文献   

10.
The thermochemical etching behavior of GaAs/AlGaAs multilayer structure during laser beam scanning has been studied. The etch rate changes between GaAs and AlGaAs epilayers as the etching process proceeds through the layered sample. The phenomenon can be explained by the difference of thermal parameters of the heterojunction interface. The local temperature rise from laser irradiation has been calculated to investigate etching characteristics for GaAs and AlGaAs. It is concluded that the good thermal confinement at GaAs/AlGaAs interface produces the wider etch width of GaAs layer than that of AlGaAs layer in GaAs/AlGaAs multilayer. The maximum etch rate of the GaAs/AlGaAs multilayer was 32.5 μm/sec and the maximum etched width ratio of GaAs to AlGaAs was 1.7.  相似文献   

11.
Chen Lele  Zhu Liang  Xu Linda  Li Dongxia  Cai Hui  Pao Tod 《半导体学报》2009,30(3):033005-033005-5
The CF2 density and etch rate of SiO2, Si3N4 and Si are investigated as a function of gas pressure and 02 flow rate in fluorocarbon plasma. As the pressure increases, the self-bias voltage decreases whereas the SiO2 etch rate increases. Previous study has shown that SiO2 etch rate is proportional to the self-bias voltage. This result indicates that other etching parameters contribute to the SiO2 etching. Generally, the CF2 radical is considered as a precursor for fluorocarbon layer formation. At a given power, defluorination of fluorocarbon under high-energy ion bombardment is a main source of fluorine for SiO2 etching. When more CF2 radical in plasma, SiO2 etch rate is increased because more fluorine can be provided. In this case, CF2 is considered as a reactant for SiO2 etching. The etch rate of Si3N4 and Si is mainly determined by the polymer thickness formed on its surface which is dominated by the CF2 density in plasma. Etching results obtained by varying O2 flow rate also support the proposition.  相似文献   

12.
采用Cl2/Ar感应耦合等离子体(ICP)对单晶硅进行了刻蚀,工艺中用光刻胶作掩膜。研究了气体组分、ICP功率和RF功率等工艺参数对硅刻蚀速率和硅与光刻胶刻蚀选择比的影响,同时还研究了不同工艺条件对侧壁形貌的影响。结果表明,由于物理刻蚀机制和化学刻蚀机制的相对强度受到混合气体中Cl2和Ar比例的影响,硅刻蚀速率随着Ar组分的增加而降低,同时选择比也随之降低。硅刻蚀速率随着ICP功率的增大先增大继而减小,选择比则成上升趋势。硅刻蚀速率和选择比均随RF功率的增大单调增大。在Cl2/Ar混合气体的刻蚀过程中,离子辅助溅射是决定硅刻蚀效果的重要因素。同时,文中还研究分析了刻蚀工艺对于微槽效应和刻蚀侧壁形貌的影响,结果表明,通过提高ICP功率可以有效减小微槽和平滑侧壁。进一步研究了SiO2掩膜下,压强改变对于硅刻蚀形貌的影响,发现通过降低压强,可以明显地抑制杂草的产生。  相似文献   

13.
SF6/O2/CHF3混合气体对硅材料的反应离子刻蚀研究   总被引:1,自引:0,他引:1  
采用统计实验方法研究了利用SF6/O2/CHF3混合气体产生的等离子体进行硅的反应离子刻蚀技术.为了优化刻蚀条件,将刻蚀速率和选择比表示为SF6、O2、CHF3各自的流量以及气压和射频功率的函数.文中讨论了各种变量的变化对刻蚀速率和选择比的影响以及刻蚀机理,证实了加入CHF3可以显著地减小表面粗糙的结论.  相似文献   

14.
A dual RF excited discharge is described. The dual RF excitation system provides a method to control the substrate self-bias without affecting the state of the discharge. The substrate can be RF-biased utilizing an appropriate excitation frequency and power significantly less than the plasma generating RF power. The substrate self-bias dependence on various system parameters, including substrate excitation frequency, pressure, plasma generating upper electrode RF power, substrate material, and process gas compositions, is described. For a simplified model, a linear relationship between self-bias and RF power is derived using the space-charge limited assumption. The effect of substrate bias on the thermal-oxide etch rate has been studied. The results show good correlation between the ion bombardment energy, i.e., the potential difference across the substrate dark space, and the SiO 2 etch rate. The SiO2 etch rate in a CF4 plasma increases linearly with the ion bombardment energy, having a threshold etch energy of ~19 V  相似文献   

15.
This study characterizes an oxide etching process in a magnetically enhanced reactive ion etching (MERIE) reactor with a CHF3/CF4 gas chemistry. We use a statistical 24‐1 experimental design plus one center point to characterize the relationships between the process factors and etch responses. The factors that we varied in the design include RF power, pressure, and gas composition, and the modeled etch responses were the etch rate, etch selectivity to TiN, and uniformity. The developed models produced 3D response plots. Etching of SiO2 mainly depends on F density and ion bombardment. SiO2 etch selectivity to TiN sensitively depends on the F density in the plasma and the effects of ion bombardment. The process conditions for a high etch selectivity are a 0.3 to 0.5 CF4 flow ratio and a –600 V to –650 V DC bias voltage according to the process pressure in our experiment. Etching uniformity was improved with an increase in the CF4 flow ratio in the gas mixture, an increase in the source power, and a higher pressure. Our characterization of via etching in a CHF3/CF4 MERIE using neural networks was successful, economical, and effective. The results provide highly valuable information about etching mechanisms and optimum etching conditions.  相似文献   

16.
The etching characteristics of SiO2} have been investigated in the CHF3} gas plasma using the planar type reactor with the 400 kHz rf power. The etch rate of SiO2}, the SiO2} /Si and SiO2}/resist etch rate ratios, and the deterioration of photoresist films are studied with a variety of etching parameters. The etching characteristics depend strongly on the coupling mode. With the cathode coupling mode, the values of 300å/min and of larger than 100 are obtained for the etch rate of SiO2} and the SiO2}/Si etch rate ratio, respectively. Only 8 is given for the SiO2} /Si etch rate ratio with the anode one. The deterioration of photoresist films less occurs with the cathode coupling mode than with the anode one. The dependences of the etching characteristics on the rf current, gas pressure, gas flow rate, and the electrode separations are also studied some in detail with the cathode coupling mode. Possible explanations for some of the experimental results are discussed.  相似文献   

17.
氧等离子体处理高阻P型(100)硅片上的聚硅烷涂层制备SiO2/Si结论。其MOS结构平带电压随氧等离子体处理时间、反应室气压、射频功率等条件的改变而变化,平带电压最小可达-0.55~-0.88V比同一环境热氧化制备的SiO2/Si结构平带电压小得多。  相似文献   

18.
通过高频C-V测试得到实验制备的共平面波导(CPW)下方的Si/SiO2系统电荷主要表现为正电荷,其密度约为4.8×1010/cm2。三种不同衬底上50Ω共平面波导分别为直接制备于高阻硅上、高阻硅氧化层上、去除信号线与地线间的高阻硅氧化层上。20GHz时,测得上述三种CPW的微波传输损耗分别为-0.88dB、-2.50dB及-1.06dB,因此去除线间氧化层使得传输线损耗降低了1.44dB。此外还测试了高阻硅氧化层和除去线间氧化层的高阻硅氧化层上的两种CPW的传输损耗随外加偏压的变化。  相似文献   

19.
适用于阵列波导光栅制作的厚SiO_2陡直刻蚀技术   总被引:2,自引:1,他引:1  
采用ICP- 98型高密度等离子体刻蚀机进行了厚Si O2 陡直刻蚀技术的研究,利用双层掩膜技术解决了“微掩膜现象”问题,刻蚀获得12 .4 μm的陡直Si O2 光波导剖面,并将这一刻蚀技术用于阵列波导光栅的制作中.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号