首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
We have developed a single transistor ferroelectric memory using stack gate PZT/Al2O3 structure. For the same ~40 Å dielectric thickness, the PZT/Al2O3/Si gate dielectric has much better C-V characteristics and larger threshold voltage shift than those of PZT/SiO2/Si. Besides, the ferroelectric MOSFET also shows a large output current difference between programmed on state and erased off state. The <100 us erase time is much faster than that of flash memory where the switching time is limited by erase time  相似文献   

2.
The authors report on a highly reliable stacked storage capacitor with ultrahigh capacitance using rapid-thermal-annealed low-pressure chemical vapor deposited (LPCVD) Ta2O5 films (~100 Å) deposited on NH3-nitrided rugged poly-Si electrodes. Capacitances as high as 20.4 fF/μ2 (corresponding to the thinnest tox.eff (16.9 Å) ever reported using LPCVD-Ta2O5 and poly-Si technologies) have been achieved with excellent leakage current and time-dependent dielectric breakdown (TDDB) characteristics. Extensive electrical characterization over a wide temperature range (~25-300°C) shows that Ta2O 5 films on rugged poly-Si electrodes have a better temperature stability in dielectric leakage and breakdown compared to the films on smooth poly-Si electrodes  相似文献   

3.
Electrical and reliability properties of ultrathin La2O 3 gate dielectric have been investigated. The measured capacitance of 33 Å La2O3 gate dielectric is 7.2 μF/cm2 that gives an effective K value of 27 and an equivalent oxide thickness of 4.8 Å. Good dielectric integrity is evidenced from the low leakage current density of 0.06 A/cm2 at -1 V, high effective breakdown field of 13.5 MV/cm, low interface-trap density of 3×1010 eV-1/cm2, and excellent reliability with more than 10 years lifetime even at 2 V bias. In addition to high K, these dielectric properties are very close to conventional thermal SiO2   相似文献   

4.
叶伟  崔立堃  常红梅 《电子学报》2019,47(6):1344-1351
具有高介电常数的栅绝缘层材料存在某种极化及耦合作用,使得ZnO-TFTs具有高的界面费米能级钉扎效应、大的电容耦合效应和低的载流子迁移率.为了解决这些问题,本文提出了一种使用SiO2修饰的Bi1.5Zn1.0Nb1.5O7作为栅绝缘层的ZnO-TFTs结构,分析了SiO2修饰对栅绝缘层和ZnO-TFTs性能的影响.结果表明,使用SiO2修饰后,栅绝缘层和ZnO-TFTs的性能得到显著提高,使得ZnO-TFTs在下一代显示领域中具有非常广泛的应用前景.栅绝缘层的漏电流密度从4.5×10-5A/cm2降低到7.7×10-7A/cm2,粗糙度从4.52nm降低到3.74nm,ZnO-TFTs的亚阈值摆幅从10V/dec降低到2.81V/dec,界面态密度从8×1013cm-2降低到9×1012cm-2,迁移率从0.001cm2/(V·s)升高到0.159cm2/(V·s).  相似文献   

5.
As the gate oxide thickness decreases below 2 nm, the gate leakage current increases dramatically due to direct tunneling current. This large gate leakage current will be an obstacle to reducing gate oxide thickness for the high speed operation of future devices. A MOS transistor with Ta2O5 gate dielectric is fabricated and characterized as a possible replacement for MOS transistors with ultra-thin gate silicon dioxide. Mobility, Id-Vd, Id-Vg, gate leakage current, and capacitance-voltage (C-V) characteristics of Ta2O5 transistors are evaluated and compared with SiO2 transistors. The gate leakage current is three to five orders smaller for Ta2O5 transistors than SiO2 transistors  相似文献   

6.
An effective model to evaluate the leakage currents for different stacked gates deep submicron MOS transistors is presented. For a given equivalent oxide thickness of a stacked gate, the gate leakage current decreases with an increase of high-k dielectric thickness or a decrease of interlayer thickness. Turning points at high gate biases of the IV curves are observed for Si3N4/SiO2, Ta2O5/SiO2, Ta2O5/SiO2−yNy, Ta2O5/Si3N4, and TiO2/SiO2 stacked gates except for Al2O3/SiO2 structure. Design optimization for the stacked gate architecture to obtain the minimum gate leakage current is evaluated.  相似文献   

7.
MOSFETs and MOS capacitors with ultrathin (65 Å) low-pressure chemical vapor deposition (LPCVD) gate SiO2 have been fabricated and compared to those with thermal SiO2 of identical thickness. Results show that the devices with LPCVD SiO2 have higher transconductance and current drivability, better channel hot-carrier immunity, lower defect density, and better time-dependent dielectric breakdown (TDDB) characteristics than devices with conventional thermal SiO2  相似文献   

8.
Suitable replacement materials for ultrathin SiO2 in deeply scaled MOSFETs such as lattice polarizable films, which have much higher permittivities than SiO2, have bandgaps of only 3.0 to 4.0 eV. Due to these small bandgaps, the reliability of these films as a gate insulator is a serious concern. Ramped voltage, time dependent dielectric breakdown (TDDB), and capacitance-voltage (C-V) measurements were done on 190 Å layers of TiO2 which were deposited through the metal-organic chemical vapor deposition (MOCVD) of titanium tetrakis-isopropoxide. Measurements of the high- and low-frequency capacitance indicate that virtually no interface states are created during constant current injection stress. The increase in leakage current upon electrical stress may be due to the creation of uncharged, near interface states in the TiO2 film near the SiO2 interfacial layer that give rise to increased tunneling leakage  相似文献   

9.
The electrical characteristics of a novel HfTaON/SiO2 gate stack, which consists of a HfTaON film with a dielectric constant of 23 and a 10-Aring SiO2 interfacial layer, have been investigated for advanced CMOS applications. The HfTaON/SiO2 gate stack provided much lower gate leakage current against SiO2 , good interface properties, excellent transistor characteristics, and superior carrier mobility. Compared to HfON/SiO2, improved thermal stability was also observed in the HfTaON/SiO2 gate stack. Moreover, charge-trapping-induced threshold voltage V th instability was examined for the HfTaON/SiO2 and HfON/SiO2 gate stacks. The HfTaON/SiO2 gate stack exhibited significant suppression of the Vth instability compared to the HfON/SiO2, in particular, for nMOSFETs. The excellent performances observed in the HfTaON/SiO2 gate stack indicate that it has the potential to replace conventional SiO2 or SiON as gate dielectric for advanced CMOS applications  相似文献   

10.
The use of aluminum oxide as the gate insulator for low temperature (600°C) polycrystalline SiGe thin-film transistors (TFTs) has been studied. The aluminum oxide was sputtered from a pure aluminum target using a reactive N2O plasma. The composition of the deposited aluminum oxide was found to be almost stoichiometric (i.e., Al2O3), with a very small fraction of nitrogen incorporation. Even without any hydrogen passivation, good TFT performance was measured an devices with 50-nm-thick Al2O3 gate dielectric layers. Typically, a field effect mobility of 47 cm2/Vs, a threshold voltage of 3 V, a subthreshold slope of 0.44 V/decade, and an on/off ratio above 3×105 at a drain voltage of 0.1 V can be obtained. These results indicate that the direct interface between the Al2 O3 and the SiGe channel layer is sufficiently passivated to make Al2O3 a better alternative to grown or deposited SiO2 for SiGe field effect devices  相似文献   

11.
Electrical characteristics of Al/yttrium oxide (~260 Å)/silicon dioxide (~40 Å)/Si and Al/yttrium oxide (~260 Å)/Si structures are described. The Al/Y2O3/SiO2/Si (MYOS) and Al/Y2 O3/Si (MYS) capacitors show very well-behaved I-V characteristics with leakage current density <10-10 A/cm2 at 5 V. High-frequency C- V and quasistatic C-V characteristics show very little hysteresis for bias ramp rate ranging from 10 to 100 mV/s. The average interface charge density (Qf+Q it) is ~6×1011/cm2 and interface state density Dit is ~1011 cm-2-eV-1 near the middle of the bandgap of silicon. The accumulation capacitance of this dielectric does not show an appreciable frequency dependence for frequencies varying from 10 kHz to 10 MHz. These electrical characteristics and dielectric constant of ~17-20 for yttrium oxide on SiO2/Si make it a variable dielectric for DRAM storage capacitors and for decoupling capacitors for on-chip and off-chip applications  相似文献   

12.
To ensure the required capacitance for low-power DRAMs (dynamic RAMs) beyond 4 Mb, three kinds of capacitor structures are proposed: (a) poly-Si/SiO2/Ta2O5/SiO2 /poly-Si or poly-Si/Si3N4/Ta2O 5/SiO2/poly-Si (SIS), (b) W/Ta2O5 /SiO2/poly-Si (MIS), and (c) W/Ta2O5 W (MIM). The investigation of time-dependent dielectric breakdown and leakage current characteristics indicates that capacitor dielectrics that have equivalent SiO2 thicknesses of 5, 4, and 3 nm can be applied to 3.3-V operated 16-Mb DRAMs having stacked capacitor cells (STCs) by using SIS, MIS, and MIM structures, respectively, and that 3 and 1.5 nm can be applied to 1.5-V operated 64-Mb DRAMs having STCs by using MIS and MIM structures, respectively. This can be accomplished while maintaining a low enough leakage current for favorable refresh characteristics. In addition, all these capacitors show good heat endurance at 950°C for 30 min. Therefore, these capacitors allow the fabrication of low-power high-density DRAMs beyond 4 Mb using conventional fabrication processes at temperatures up to 950°C. Use of the SIS structure confirms the compatability of the fabrication process of a storage capacitor using Ta2O5 film and the conventional DRAM fabrication processes by successful application to the fabrication process of an experimental memory array with 1.5-μm×3.6-μm stacked-capacitor DRAM cells  相似文献   

13.
In this paper, we compare the electrical characteristics of MOS capacitors and lateral MOSFETs with oxidized Ta2Si (O-Ta2Si) as a high-k dielectric on silicon carbide or stacked on thermally grown SiO2 on SiC. MOS capacitors are used to determine the dielectric and interfacial properties of these insulators. We demonstrate that stacked SiO2/O-Ta2Si is an attractive solution for passivation of innovative SiC devices. Ta2Si deposition and oxidation is totally compatible with standard SiC MOSFET fabrication materials and processing. We demonstrate correct transistor operation for stacked O-Ta2Si on thin thermally grown SiO2 oxides. However the channel mobility of such high-k MOSFETs must be improved investigating the interface properties further.  相似文献   

14.
High-field breakdown in thin oxides grown in N2O ambient   总被引:1,自引:0,他引:1  
A detailed study of time-dependent dielectric breakdown (TDDB) in N2O-grown thin (47-120 Å) silicon oxides is reported. A significant degradation in breakdown properties was observed with increasing oxide growth temperatures. A physical model based on undulations at the Si/SiO2 interface is proposed to account for the degradation. Accelerated breakdown for higher operating temperatures and higher oxide fields as well as thickness dependence of TDDB are studied under both polarities of injection. Breakdown under unipolar and bipolar stress in N2O oxides is compared with DC breakdown. An asymmetric improvement in time-to-breakdown under positive versus negative gate unipolar stress is observed and attributed to charge detrapping behavior in N2O oxides. A large reduction in time-to-breakdown is observed under bipolar stress when the thickness is scaled below 60 Å. A physical model is suggested to explain this behavior. Overall, N2O oxides show improved breakdown properties compared with pure SiO2  相似文献   

15.
A low-loss polyimide-Ta2O5-SiO2 hybrid antiresonant reflecting optical waveguide (ARROW) is presented. The ARROW device was fabricated using both the organic and dielectric thin-film technologies. It consists of the fluorinated polyimide, tantalum pentoxide (Ta2O5), and silicon dioxide (SiO2) hybrid layers deposited on a Si substrate. For transverse electric polarized light, the propagation loss of the waveguide as low as 0.4 dB/cm was obtained at 1.31 μm. The propagation loss for transverse magnetic polarized light is 1.5 dB/cm. An ARROW waveguide fabricated using the polyimide-Ta2O5 -polyimide material system is also presented for comparison  相似文献   

16.
Furnace nitridation of thermal SiO2 in pure N2 O ambient for MOS gate dielectric application is presented. N2O-nitrided thermal SiO2 shows much tighter distribution in time-dependent dielectric breakdown (TDDB) characteristics than thermal oxide. MOSFETs with gate dielectric prepared by this method show improved initial performance and enhanced device reliability compared to those with thermal gate oxide. These improvements are attributed to the incorporation of a small amount of nitrogen (~1.5 at.%) at the Si-SiO2 interface without introducing H-related species during N2O nitridation  相似文献   

17.
This paper presents a study of the impact of gate-oxide N2 O anneal on CMOSFET's characteristics, device reliability and inverter speed at 300 K and 85 K. Two oxide thicknesses (60 and 110 Å) and five N2O anneal conditions (900~950°C, 5~40 min) plus nonnitrided process and channel lengths from 0.2 to 2 μm were studied to establish the correlation between the nitrogen concentration at Si/SiO2 interface and the relative merits of the resultant devices. We concluded that one simple post-oxidation N2O anneal step can increase CMOSFET's lifetime by 4~10 times, effectively suppress boron penetration from the P+ poly-Si gate of P-MOSFET's without sacrificing CMOS inverter speed. We also found that the benefits in terms of the improved interface hardness and charge trapping characteristic still exist at cryogenic temperature. All these improvements are found to be closely correlated to the nitrogen concentration incorporated at the Si/SiO2 interface. The optimal N2O anneal occurs somewhere at around 2% of nitrogen incorporation at Si/SiO2 interface which can be realized by annealing 60~110 Å oxides at 950°C for 5 min or 900°C for 20 min  相似文献   

18.
By stacking thermal and high-quality LPCVD (low-pressure chemical vapor deposition) SiO2 films, gate oxides with very low defect densities are demonstrated. Whereas previous reports suggested that a thick layer of LPCVD oxide can improve the stacked gate oxide defect density, it is demonstrated that even 25 Å of LPCVD oxide is sufficient to dramatically reduce the defect density compared to thermal oxide films. The projected scaling limit for this technology is estimated to be as low as 70 Å for the total stack thickness. An optimized thermal/LPCVD oxide technology is very promising as the gate dielectric for sub-half-micrometer CMOS technology  相似文献   

19.
The performance of polysilicon thin-film transistors (TFTs) formed by a 600°C process was improved using a two-layer gate insulator of photochemical-assisted vapor deposition (photo-CVD) SiO2 and atmospheric-pressure chemical vapor deposition (APCVD) SiO2. The photo-CVD SiO2, 100 Å thick, was deposited on polysilicon and followed by APCVD SiO2 of 1000 Å thickness. The TFT had a threshold voltage of 8.3 V and a field-effect mobility of 35 cm2/V-s, which were higher than those of the conventional TFT with a single-layer gate SiO2 of APCVD. Hydrogenation by hydrogen plasma was more effective for the new TFT than for the conventional device  相似文献   

20.
Spatial Distributions of Trapping Centers in HfO2/SiO2 Gate Stack   总被引:1,自引:0,他引:1  
An analysis methodology for charge pumping (CP) measurements was developed and applied to extract spatial distributions of traps in SiO 2/HfO2 gate stacks. This analysis indicates that the traps accessible by CP measurements in the frequency range down to a few kilohertz are located primarily within the SiO2 layer and HfO2/SiO2 interface region. The trap density in the SiO2 layer increases closer to the high-kappa dielectric, while the trap spatial profile as a function of the distance from the high-kappa film was found to be dependent on high-kappa film characteristics. These results point to interactions with the high-kappa dielectric as a cause of trap generation in the interfacial SiO2 layer  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号