首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
 A novel flip-chip assembly technique by means of electroplating at a low processing temperature has been developed. Mesoscopic gears, made of nickel with 1500 μm in diameter and 250 μm in height, have been bonded to glass substrate. The bonding process is conducted by nickel electroplating at 50 °C with a current density at 53.3 A/m2. The nickel electrolyte is found to penetrate about 100 μm from the perimeter to the center of the gear and form a good bond. This process can be applied to the integration of high-aspect-ratio microstructures with substrates that have pre-fabricated microelectronics massively and in parallel. As such, it provides a powerful way to achieve the integration of meso- and microscopic electromechanical systems. Received: 7 July 1999/Accepted: 30 June 2000  相似文献   

2.
 In this paper results are presented from a range of experiments to explore the feasibility of inserting a ceramic material PZT (lead zirconium titanate) into different kinds of high-aspect-ratio resist moulds. Polymethylmethacrylate (PMMA) and SU-8 on silicon substrates and free-standing SU-8 membranes with micro-cavities or through-holes (defined by X-ray lithography) have been used as moulding medium. Processing conditions for the resist materials including pre-bake, exposure, post-bake, development and stripping have been compared. The advantages of different types of resist mould for the LIGA process has been evaluated. Additionally a comparison of photosensitivity of PMMA and SU-8 has been carried out. Using a range of load pressures (5 to 60 MPa), appropriate conditions for PZT embossing into resist moulds have been determined (ensuring minimum void formation in the final PZT structures). In the final form, SU-8 moulds have been removed by laser ablation. This is the first reporting of high-aspect-ratio ceramic microstructures fabricated using a combination of SU-8 moulds and PZT embossing. Received: 10 August 2001/Accepted: 24 September 2001  相似文献   

3.
During the past decade, individual simulation modules for the LIGA process have been developed, such as the power spectrum of the X-rays available from a given synchrotron, the effect of various components in the beam line, the image structure in the resist and image development. This has lead to an understanding of the parameters affecting the basic dimensional relationship between the mask and the 3D image in the resist itself. The commercialization of X-ray LIGA now requires knowledge of the parameters affecting the production engineering of micro devices. Foremost among these parameters are the manufacturing tolerances arising from variations in key microfabrication steps. This paper seeks to integrate the various physical simulation models into a single interactive program, whereby the design engineer can see the effect of different synchrotron output spectra, different beamline constructions and different resist parameters on the dimensions of the planned 3D microstructure. A novel approach to estimating the dimensional tolerances to be expected with large scale production is developed and comparison made with data available from the literature. Predicted results from using a particular synchrotron with a beamline designed to approximate to a “standard” exposure spectra at the rest surface are calculated, showing the microstructure shape and tolerance expected in large scale production. From these data a basic set of equations are established from which “Linewidth Design rules for LIGA” can be established. The steps following definition of the resist structure, for example electrodeposition, injection moulding or hot embossing, are not studied here.  相似文献   

4.
 Fabrication of high aspect ratio structures requires the use of a photoresist able to form a mold with vertical sidewalls. Thus the photoresist should have a high selectivity between the exposed and the unexposed area in the developer. It should be relatively free from stress when applied in thick layers necessary to make high aspect ratio structures. PMMA (Poly Methyl Methacrylate) is the photoresist of choice in the LIGA process, mainly for its ability to hold vertical sidewalls for tall structures. It is applied to the substrate by a glue-down process in which a pre-cast, high molecular weight, sheet of PMMA is attached to the plating base on a substrate. The applied photoresist is then milled down to the precise height by a fly-cutter prior to pattern transfer by x-ray exposure. The requirement that the applied layer be relatively free from stress dictates the choice of glue-down over casting. The substrate preparation steps, as well as the conditioning of the PMMA sheet prior to the glue-down, are done, in part, to reduce the stress in the glued down sheet of photoresist. The cutting of the PMMA sheet in the fly-cutter requires specific operating conditions as well as particular cutting tools to avoid introducing any stress and the resultant crazing of the photoresist. Received: 25 August 1997/Accepted: 3 September 1997  相似文献   

5.
A new microelectroforming technique using air-pressure assistance in the electrolyte is introduced. The related theories and experiments are reported in this paper. The pressurized electrolyte reduces hydrogen bubble formation and defect on the substrate surface. The high pressure electrolyte limits bubble formation in electroforming. It also produces good throwing power from the experimental sample observations. The edges of the electroformed workpiece using the pressurized method were smoother than those produced using conventional electroforming. The metallurgical grains were finer when higher pressures were applied in the electrolyte based on SEM micrographs. Pressurized electroforming can increase the allowable current density, which can shorten plating time in the LIGA process. The high growth rate due to large applied current density results in large electroforming microstructure grains. The surface morphology of pressurized electroformed samples were improved compared with the conventional method.This work was supported by the National Science Council (series no. NSC91-2623-7-005–002) of Taiwan. The National Synchrotron Radiation Research Center (NSRRC) provided synchrotron radiation for fabricating PMMA molds is acknowledged.  相似文献   

6.
 Proton beam micromachining (PBM) has been shown to be a powerful technique to produce three-dimensional (3D) high-aspect-ratio microstructures (Watt et al., 2000). Potential commercial applications of PBM, which is a fast direct write technique, will become feasible if the fabrication of metallic molds or stamps is realised. Metallic components can be produced by electroplating a master from a microstructure produced in resist. The production of high-aspect-ratio metallic stamps and molds requires a lithographic technique capable of producing smooth and near 90° sidewalls and a one to one conversion of a resist structure to a metallic microstructure. PBM is the only technique capable of producing high-aspect-ratio microstructures with sub-micron details via a direct write process. In PBM, SU-8 (Lorenz et al., 1997) resist structures are produced by exposing the SU-8 resist with a focused MeV proton beam followed by chemical development and a subsequent electroplating step using Ni or Cu. The data presented shows that PBM can successfully produce high-aspect-ratio, sub-micron sized smooth metallic structures with near 90° sidewall profiles. Received: 10 August 2001/Accepted: 24 September 2001  相似文献   

7.
A case study of use of negative type SU-8 X-ray sensitive resist for fabrication of advanced, highly precise, ultra tall direct LIGA mechanical microparts is presented in this paper. Using direct LIGA technique, ~1 mm tall highly precise metallic gear wheels are being fabricated, previously using PMMA based process. Starting from a non-optimized non-satisfying SU-8 process, significant process parameters for process optimization were identified using statistical design of experiment. By varying the significant process parameters, SU-8 process was further optimized with respect to critical aspect of sidewall bow and tilt of metallic structures. After the optimization, metallic parts fabricated using SU-8 process showed comparable quality as those fabricated using PMMA based process.  相似文献   

8.
In this paper we report fabrication of high-aspect-ratio micro-structure of Ni by electroplating, using a micro-machining technique of resists using proton beam writing (PBW) at Japan Atomic Energy Agency (JAEA). A micro-structure of 5 μm thick PMMA was fabricated by exposure using PBW at 1.7 MeV and by development. A Ni structure was then formed by electroplating on the micro-structure of PMMA. Vertical and smooth side walls observed by a scanning electron microscope (SEM) indicate that PBW can be a versatile tool for fabrication of resists and metal microstructure in combination with electroplating. The electroplated Ni structure can be used as a resolution standard, which enabled us to focus the proton beam down to 130 nm.  相似文献   

9.
 This paper describes a technique for fabricating a LIGA mask that offers good compatibility with the silicon IC process. X-ray exposure can be eliminated from the LIGA mask-fabrication process, so that LIGA masks can be fabricated with existing silicon IC process equipment. A gold absorber pattern, 2 μm wide and 10 μm thick, has been successfully fabricated by combining a three-layer resist-patterning process with the electroplating process. Improvements in both the mask structure and fabrication process alleviate the problems of dust in a cleanroom and contamination in the etching chamber. Received: 25 August 1997/Accepted: 23 September 1997  相似文献   

10.
LIGA fabricated parts are finding application in a wide variety of micro-mechanical systems. For these systems to operate reliably, friction between contacting sidewall surfaces must be understood and controlled. The roughness of the as-plated sidewall is an important determinate of friction forces at such contacts. LIGA sidewalls were characterized in order to provide a basis for predicting the friction, adhesion, and wear behavior of LIGA micromachines. A variety of unexpected sidewall morphologies were observed during this investigation. Three morphologies were identified: a fine scale roughness, a linear through thickness feature, and a group of larger high aspect ratio features. Each morphology has been associated with a specific aspect of the LIGA manufacturing process. Potential friction, adhesion, and wear management strategies suggested by these features have been discussed. In addition, the asperity behavior in a LIGA sidewall contact has been predicted based on the finest roughness observed.  相似文献   

11.
12.
SU-8 layers of different thickness that were formed by spinning and soft baking or a casting process with a solvent content of not more than 4% were used for experimental investigation of deep UV lithography using modified radiation of a mercury lamp. The specific absorbance of the SU-8 layers have been measured in dependence on the wavelength to calculate the power of the absorbed radiation as a function of depth in the resist layer. The resist layers which were formed on a photo-mask have been exposed with a variation of exposure dose to study the residual thickness of the resist. There are threshold exposures for the formation of insoluble SU-8 resist layer and for the disappearance of shrinkage of the resist layer, which depends on the size of the irradiated area, on microstructure topology and on the resist thickness for fixed parameters of pre- and post-exposure baking. It has been shown that exact filtration of a low-intensity band of exposure radiation at 334 nm allows to reduce strong diffraction distortion in the upper layer of the resist. Microstructures with an aspect ratio of 25 in a SU-8 resist layer of 1 mm thickness have been obtained using a 100 m thick SU-8 resist layer as a filter for the UV radiation and with optimal dose of exposure.  相似文献   

13.
 Movable microstructures with high aspect ratios were made with lateral dimensions down to the sub-micron domain by the LIGA process and a sacrificial layer technique. Compared to microstructures usually made by LIGA, all dimensions were reduced approximately by a factor of 10, while the aspect ratio was kept constant. The smaller resist thickness in the range of some ten micrometers allowed much lower X-ray doses and energies to be used for exposure and the absorbers with a thickness of only 3 μm to be employed. As the lateral dimensions are smaller, a much larger number of devices can be fabricated in one batch. Therefore, the production costs of deep etch X-ray lithography are reduced dramatically. Electrostatic linear actuators with lateral dimensions as small as 500 nm were manufactured to demonstrate the advantages of LIGA in sub-micron dimensions. An X-ray mask with absorbers 2.8 μm high was produced by a three-level technique. The linear actuators consisted of several arrays of capacitor plates combined into an electrostatic driving unit with an active area, typically, 0.3 mm2 and less. The driving unit was supported by folded beam flexures to avoid frictional forces. They also guaranteed parallel movement of the capacitor plates. The functionality of these devices was demonstrated by measuring displacement as a function of the voltage applied. Received: 30 October 1995 / Accepted: 17 January 1996  相似文献   

14.
Movable microstructures with high aspect ratios were made with lateral dimensions down to the sub-micron domain by the LIGA process and a sacrificial layer technique. Compared to microstructures usually made by LIGA, all dimensions were reduced approximately by a factor of 10, while the aspect ratio was kept constant. The smaller resist thickness in the range of some ten micrometers allowed much lower X-ray doses and energies to be used for exposure and the absorbers with a thickness of only 3 μm to be employed. As the lateral dimensions are smaller, a much larger number of devices can be fabricated in one batch. Therefore, the production costs of deep etch X-ray lithography are reduced dramatically. Electrostatic linear actuators with lateral dimensions as small as 500 nm were manufactured to demonstrate the advantages of LIGA in sub-micron dimensions. An X-ray mask with absorbers 2.8 μm high was produced by a three-level technique. The linear actuators consisted of several arrays of capacitor plates combined into an electrostatic driving unit with an active area, typically, 0.3 mm2 and less. The driving unit was supported by folded beam flexures to avoid frictional forces. They also guaranteed parallel movement of the capacitor plates. The functionality of these devices was demonstrated by measuring displacement as a function of the voltage applied.  相似文献   

15.
Fabrication of microneedle array using LIGA and hot embossing process   总被引:1,自引:0,他引:1  
We demonstrate a novel fabrication technology of the microneedle array applied to painless drug delivery and minimal invasive blood extraction. The fabrication technology consists of a vertical deep X-ray exposure and a successive inclined deep X-ray exposure with a deep X-ray mask whose pattern has a hollow triangular array. The vertical exposure makes triangular column array with a needle conduit. With the successive inclined exposure, the column array shapes into the microneedle array without deep X-ray mask alignment. Changing the inclined angle and the gap between the mask and PMMA (PolyMethylMetaAcrylic) substrate, different types of microneedle array are fabricated in 750–1000 m shafts length, 15o–20o tapered tips angle, and 190–300 m bases area. The masks are designed to 400–600 m triangles length, 70–100 m conduits diameter, 25–60EA/5 mm2 arrays density, and various tip shapes such as triangular, rounded, or arrow-like features. In the medical application, the fabricated PMMA microneedle array fulfills the structural requirements such as three-dimensional sharp tapered tip, HAR (High-Aspect-Ratio) shafts, small invasive surface area, and out-of-plane structure. In the skin test, the microneedle array penetrates back of the hand skin with minimum pain and without tip break and blood is drawn after puncturing the skin. Hot embossing process and mold fabrication process are also investigated with silicon and PDMS mold. The processed tetrahedral PMMA structures are fabricated into the microneedle array by the additional deep X-ray exposure. With these processes, the microneedle array can be utilized as the mold base for electroplating process.The author thanks the staff in 9 C LIGA beamline, Pohang Light Source (PLS), Korea for their assistance on the fabrication process.  相似文献   

16.
This paper describes a theoretical model that predicts the metal ion concentration distribution during electroforming high aspect ratio microstructures (HARM). The applied current density and microstructure aspect ratio were found as two important factors that affect the electroforming outcome. The analytical results are verified using experiments that electroforming microstructural posts with an aspect ratio of 10. Good agreement was obtained between the experimental and analytical solutions. Based on the ion concentration analytical prediction on the cathode surface, one can estimate the electroforming time required for fabricating a microstructure for a given aspect ratio.  相似文献   

17.
High aspect ratio electrostatic micro actuators using LIGA process   总被引:2,自引:0,他引:2  
High-power electrostatic microactuators using LIGA process have been fabricated. Comb drive type actuators and a wobble motor were designed and fabricated. A basic structure of the microactuators was composed of movable and fixed electrodes of Ni, a sacrificial layer of SiO2 and a Si substrate, and carried out by one mask process. As design rules, a minimum resist width of 2 μm, resist height of 120 μm, maximum width of movable parts of 10 μm, minimum width of fixed parts of 40 μm and driving voltage of about 100 V, were decided. A 120 μm-thick PMMA resist was formed on a Si substrate by a casting method. The PMMA was exposed using a compact SR source “AURORA”, using an X-ray mask with 7 μm-thick Au absorber on a 2 μm-thick poly-Si membrane. The exposed PMMA was developed by a developer. Ni microstructures with 100 μm-height, 2 μm-minimum width, 2 μm-minimum gap, and then maximum aspect ratio of 50, were made by electroforming. Ni microstructures used for movable electrodes were separated from the substrate by lateral etching of SiO2. After lateral etching of SiO2, Au wires were bonded to electrodes. Actuation of the comb drive type actuator and rotation of the wobble motor were confirmed. The applied voltage to the comb drive actuator and the wobble motor were 65 and 125 V.  相似文献   

18.
Sacrificial-Layer technology is widely used in the microfabrication field of SLIGA. In this paper, thick sacrificial layers of zinc techniques are studied. A movable structure with aspect ratio of 25 has been fabricated by using zinc sacrificial layer through LIGA technique. Compared with conventional metal sacrificial layers of titanium or copper, the thickness of zinc layer can grow up to more than 10 μm. The adhesion of different seed layers and the physiognomy of sacrificial layer are also focused in this paper.  相似文献   

19.
X-ray imaging is a very important technology in the fields of medical, biological, inspection, material science, etc. However, it is not enough to get the clear X-ray imaging with low absorbance. We have produced a diffraction gratings for obtaining high resolution X-ray phase imaging, such as X-ray Talbot interferometer. In this X-ray Talbot interferometer, diffraction gratings were required to have a fine, high accuracy, high aspect ratio structure. Then, we succeeded to fabricate a high aspect ratio diffraction grating with a pitch of 8 μm and small area using a deep X-ray lithography technique. We discuss that the diffraction gratings having a narrow pitch and an large effective area to obtain imaging size of practical use in medical application. If the pitch of diffraction gratings were narrow, it is expected high resolution imaging for X-ray Talbot interferometer. We succeeded and fabricated the diffraction grating with pitch of 5.3 μm, Au height of 28 μm and an effective area of 60 × 60 mm2.  相似文献   

20.
介绍了将LIGA技术及其与牺牲层技术相结合 ,制造可动微结构的工艺方法。并给出了可实现摆动、转动的可动微结构———微执行器、微传感器和三维加速度传感器的制造过程等应用实例  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号