首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
La modified SBT (Sr0.8La0.1Bi2.1Ta2O9) thin films of different thickness were fabricated on Pt/Ti/SiO2/Si substrates by the metalorganic decomposition technique. All the films were annealed layer-by-layer at 800 °C using a rapid thermal annealing furnace. X-ray diffraction analysis indicated that the relative intensity of the (2 0 0) diffraction peak [I(2 0 0)/I(1 1 5)] increased with the increase of the film thickness. Eventually, an a-axis preferentially oriented SLBT film was obtained. These results are discussed with respect to the anisotropy of the grain growth. The a-axis preferentially oriented SLBT film, whose relative intensity of the (2 0 0) peak [I(2 0 0)/I(1 1 5)] was 1.05, had a remanent polarization (2Pr) value of 21 μC/cm2 and a coercive field (2Ec) value of 70 kV/cm under the electric field of 200 kV/cm.  相似文献   

2.
The copper diffusion barrier properties of an ultrathin self-forming AlOx layer on a porous low-k film have been investigated. Cu-3 at.% Al alloy films were directly deposited onto porous low-k films by co-sputtering, followed by annealing at various temperatures. Transmission electron microscopy micrographs showed that a ∼ 5 nm layer self-formed at the interface after annealing. X-ray photoelectron spectroscopy analysis showed that this self-formed layer was Al2O3. Sharp declines of the Cu and Si concentrations at the interface indicated a lack of interdiffusion between Cu and the porous low-k film for annealing up to 600 °C for 30 min. The leakage currents from Cu(Al)/porous low-k/Si structures were similar to as-deposited films even after a 700 °C, 5 min anneal while a Cu sample without Al doping failed at lower temperatures. Adding small amounts of Al to bulk Cu is an effective way to self-form copper diffusion layer for advanced copper interconnects.  相似文献   

3.
A. Lakatos  A. Csik  G. Erdelyi  L. Daroczi  J. Toth 《Vacuum》2009,84(1):130-2367
One of the most important processes in Cu metallization for highly integrated circuits is to fabricate reliable diffusion barriers. Recently, thin films made of refractory metals and their compounds have been widely used in solid-state electronics as barriers because of their good electric properties, favourable thermal properties and chemical stability. Thermal stability of Tantalum (Ta) and Tantalum-oxide (TaOx) layers as a diffusion barrier in Si/Ta/Cu, Si/TaOx/Cu and Si/Ta-TaOx/Cu systems have been investigated. Si/Ta (10 nm)/Cu (25 nm)/W (10 nm), Si/TaOx (10 nm)/Cu (25 nm)/W (10 nm) and Si/Ta (5 nm)TaOx (5 nm)/Cu (25 nm)/W (10 nm) thin layers were prepared by DC magnetron sputtering. A tungsten cap layer was applied to prevent the oxidation of the samples during the annealing process. The samples were annealed at various temperatures (473 K-973 K) in vacuum. Transmission Electron Microscopy, X-ray diffraction, X-Ray Photoelectron Spectroscopy and Secondary Neutral Mass Spectrometry were used to characterize the microstructure and diffusion properties of the thin films. Our results show that at the beginning phase of the degradation of the Si/Ta/Cu system Ta atoms migrate through the copper film to the W/Cu interface. In the Si/TaOx/Cu system the crystallization of TaO and the diffusion of Si through the barrier determine the thermal stability. The Ta-TaO bilayer proved to be an excellent barrier layer between the Si and Cu films up to 1023 K. The observed outstanding performance of the combined film is explained by the continuous oxidation of Ta film in the TaOx-Ta bilayer.  相似文献   

4.
A series of Ta/NdFeB/Ta thin films with Mo and Mo–Cu additions embedded by alloying and by stratification have been prepared by r.f. sputtering. The influence of additions, their embedding mode, and annealing temperature on the structural and magnetic behavior of Ta/NdFeB/Ta thin films is presented. The use of additions of Mo and Mo–Cu leads to refined grain structure and improvement in the hard magnetic characteristics of Ta/NdFeB/Ta thin films. The Ta/[NdFeBMo(540 nm)/Ta films and Ta/[NdFeB(180 nm)/MoCu(dnm)] × n/Ta multilayer films present enhanced coercivities and Mr/Ms ratios in comparison with the Ta/NdFeB(540 nm)/Ta films. The stratification of Ta/NdFeB/Ta thin films with Mo–Cu interlayers leads to an oscillatory behavior of hard magnetic characteristics of the Ta/[NdFeB(180 nm)/MoCu(dnm)] × n/Ta multilayer films, when the thickness, d, of Mo–Cu interlayers varies by increments of 1 nm. When the thickness of Mo–Cu interlayers varies by increments of 2 nm the oscillatory behavior of the magnetic characteristics is not revealed. For a thickness of the Mo–Cu interlayer of 3 nm in the Ta/[NdFeB(180 nm)/MoCu(3 nm)] × 3/Ta thin films annealed at 650 °C, the c-axis of part of the hard magnetic Nd2Fe14B grains is oriented out-of-plane.  相似文献   

5.
Low cost deposition of large area CuInSe2 (CIS) thin films have been grown on Mo-coated glass substrate by simple and economic stacked elemental layer deposition technique in vacuum. The grown parameters such as concentration of Cu, In and Se elements have been optimized to achieve uniform thin film in vacuum chamber. The as-grown Cu/In/Se stacked layers have been annealed at 200 °C and 350 °C for 1 h in air ambient. The as-grown and annealed films have been further subjected to characterization by X-ray diffraction (XRD), optical absorption, atomic force microscopy (AFM) and I-V measurement techniques. XRD patterns revealed that as-grown Cu/In/Se stacked layers represent amorphous nature while annealed CIS film reproduces nano-polycrystalline nature with chalcopyrite structure. The optical band gap of annealed films increases with respect to air annealing which confirms the reduction of crystallite size. Surface morphology of as-grown Cu/In/Se stacked layers and annealed CIS thin films have been confirmed by AFM images. The electrical measurements show enhancement of conductivity which is useful for solar cell application.  相似文献   

6.
SmS optical thin films were deposited on the surface of ITO glass with an electrodeposition method using aqueous solution containing SmCl3·6H2O and Na2S2O3·5H2O. The phase composition was analyzed by X-ray diffraction (XRD) and microstructure of the film was characterized by atomic force microscope (AFM). It is showed that SmS thin film could be obtained in the solution with n(Sm)/n(S) = 1:4, pH = 4.0 and annealing in Ar atmosphere at 200 °C for 0.5 h. The as-prepared thin films on the ITO glass exhibit a dense microstructure. The band gap of the thin film has been found to be 3.6 eV.  相似文献   

7.
Er doped ZnO thin films have been synthesized from zinc acetates dihydrate (C4H6O4Zn·2H2O) and Erbium tris (2,2,6,6-tetramethyl-3,5-heptadionate) (Er(TMHD)3) by aerosol assisted chemical vapor deposition AACVD atmospheric pressure technique. Films were deposited in the temperature range of [370–500 °C] on Si (1 1 1) substrate. Nano-disk shaped grains were grown on the top of the film surface. The morphology of the as-deposited films was found to be dependent on the substrate temperature. After annealing in air atmosphere, XRD patterns revealed a highly oriented c-axis Er:ZnO films with hexagonal wurtzite structure without any second phase. Under 488 nm excitation, the intra 4f–4f green emission (2H11/2, 4S3/2 → 4I15/2 transitions) gradually increased with increasing annealing temperature. Also, the local structure of Er changes to a pseudo-octahedral structure with C4v symmetry. The ZnO film with 2.504 at.% Er3+ doping has the best crystalline structure and the best resolved PL spectra. Using 325 nm excitation, all the samples showed an ultraviolet emission centered at 380 nm originating from a near band EDGE emission and a broad band green emission centered at 520 nm from deep levels. The optical response was correlated with crystallinity of the synthesized thin films.  相似文献   

8.
Y.S. Zou  Y.F. Wu  C. Sun 《Vacuum》2009,83(11):1406-1629
The nitrogen incorporated diamond-like carbon films were deposited on Si (100) substrates by arc ion plating (AIP) under different N2 content in the gas mixture of Ar and N2. The influence of N2 content on the film microstructure and mechanical properties was studied by atomic force microscopy, transmission electron microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS) and nanoindentation. It was found that the hardness (H), elastic modulus (E), elastic recovery (R) and plastic resistance parameter (H/E) decrease with increasing the nitrogen content. The decrease of mechanical properties of DLC films resulted from nitrogen incorporation was associated with total sp3 carbon bond content and N-sp3C bond content. The structural modification as well as mechanical properties of the annealed nitrogen incorporated diamond-like carbon films was investigated as a function of annealing temperature. Raman spectra indicate that the ID/IG ratio starts to increase and G peak position shifts upward at the annealing temperature over 500 °C. The hardness and elastic modulus of thermally annealed nitrogen incorporated DLC films decreased slightly at lower annealing temperature and then significantly decreased at higher annealing temperature. The strong covalent bonding between C and N atoms is expected to be effective on their thermal stability enhancement.  相似文献   

9.
ZnO films were deposited by metal-organic chemical vapor deposition on (0001) sapphire substrates at various partial pressure ratios of oxygen and zinc precursors (RVI/II). The annealing and the RVI/II ratio effects on the vibrational and optical properties of ZnO films have been investigated by Micro-Raman scattering and low temperature photoluminescence (PL) spectroscopy. As confirmed by characterizations used in this study, the quality of the ZnO films was improved by thermal annealing at 900 °C in oxygen ambient. Raman spectra of the as-deposited films show a broad band (BB) centered at about 518 cm−1 whose intensity increases when the RVI/II ratio decreases. After annealing, the intensity ratio of the BB to the E2 high (E2H) peak decreases rapidly with increasing the annealing time (tan). The vibrational properties of the annealed films grown at RVI/II = 1 need only 1 h to be improved in contrast to those of films grown in Zn-rich condition, which need 4 h. From the E2H mode frequency, the residual stress in both the as-grown and the annealed films has been estimated. Micro-Raman measurements show that as-grown films are under a compressive stress which vanishes upon annealing and is not strongly dependent on tan for tan up to 1 h. PL spectra show that sharp donor bound exciton and A-free exciton emissions are observed for the as-deposited films grown at RVI/II ≥ 0.5 and are enhanced after annealing for 1 h. However, in ZnO films grown in Zn-rich condition these emissions are absent and a tan = 4 h is needed to annihilate non-radiative recombination centers and improve their luminescent efficiency.  相似文献   

10.
Conductive cadmium stannate (Cd2SnO4,) films were grown by a simple spray-pyrolysis technique using aerosols ultrasonically generated from solutions containing Cd(thd)2(TMEDA) and nBu2Sn(AcAc)2, and monoglyme as solvent (thd = 2,2,6,6-tetramethyl-3,5-heptanedionate, TMEDA = N,N,N′,N′-tetramethylethylenediamine, AcAc = acethylacetonate). The overall film growing procedure was carried out at or below 400 °C thus allowing low-melting temperature materials like glass to be used as film substrates. Typical resistivity values of Cd2SnO4 films were found to be ∼ 2 · 10 −3 Ωcm. The films exhibit excellent electrochemical activity with comparable or higher electron transfer rates than cadmium stannate films obtained via sol-gel methods at high annealing temperature.  相似文献   

11.
In the Cu-Fe-O phase diagram, delafossite CuFeO2 is obtained for the CuI oxidation state and for the Cu/Fe = 1 ratio. By decreasing the oxygen content, copper/spinel oxide composite can be obtained because of the reduction and the disproponation of cuprous ions. Many physical properties as for instance, electrical, optical, catalytic properties can then be affected by the control of the oxygen stoichiometry.In rf-sputtering technique, the bombardment energies on the substrate can be controlled by the deposition conditions leading to different oxygen stoichiometry in the growing layers.By this technique, thin films have been prepared from two ceramic targets: CuFeO2 and CuO + CuFe2O4. We thus synthesized either Cu0/CuxFe1−xO4 nanocomposites thin films with various Cu0 quantities or CuFeO2-based thin films.Two-probes conductivity measurements were permitted to comparatively evaluate the Cu0 content, while optical microscopy evidenced a self-assembly phenomenon during thermal annealing.  相似文献   

12.
Epitaxial thin films of a heterostructure with Bi4Ti3O12(BIT)/SrTiO3(ST) were successfully grown with a bottom electrode consisting of La0.5Sr0.5CoO3(LSCO) on MgO(001) substrates using pulsed laser deposition. The grown BIT and ST (001) planes were parallel to the growth surface with the orientation relationship of BIT <110>//ST <010>. In the as-deposited film, the BIT (001) plane appeared to expand to relieve a lattice mismatch with the ST (001) plane. However, annealing for 20-40 min induced the BIT (001) plane to contract horizontally with its c-axis expanding, which was associated with a local perturbation in the layer stacking of the BIT structure. This structural distortion was reduced in the film annealed for 1 h, with restoration of the periodicity of the layer stacking. Correspondingly, the dielectric constant of the as-deposited film was increased from 292 to 411 by annealing for 1 h. In parallel, the film was paraelectric but became more ferroelectric, with the remanent polarization and the coercive field changing from 0.1 μC/cm2 and 14 kV/cm to 1.7 μC/cm2 and 69 kV/cm, respectively.  相似文献   

13.
Calcium copper titanate, CaCu3Ti4O12 (CCTO), thin films have been fabricated by Metal Organic Chemical Vapor Deposition on silicon substrates buffered with two different low-k interlayers, namely SiO2 and Si3N4. Depositions have been carried out from a molten mixture consisting of the Ca(hfa)2 • tetraglyme, Ti(tmhd)2(O-iPr)2, and Cu(tmhd)2 [Hhfa = 1,1,1,5,5,5-hexafluoro-2,4-pentanedione; tetraglyme = 2,5,8,11,14-pentaoxapentadecane; Htmhd = 2,2,6,6-tetramethyl-3,5-heptandione; O-iPr = iso-propoxide] precursors. The chemical stability of CCTO films on both the SiO2 and Si3N4 low-k layers has been investigated by transmission electron microscopy in the perspective of their implementation in capacitor devices.  相似文献   

14.
Mo-N/Cu films were deposited on silicon and steel substrates by inductively coupled plasma sputtering using Mo-Cu alloy targets having different Cu concentrations. The structure and mechanical properties of the Mo-N/Cu films were investigated. The (200)-oriented γ-Mo2N-Cu films were obtained at a nitrogen flow rate higher than 1.5 sccm, whereas metallic films were deposited at a nitrogen flow rate lower than 1 sccm. Cu contents in the films increased from 3 at.% to 8 at.% with corresponding increase in Cu concentrations in the target from 5 at.% to 20 at.%. Hardness of the films varied between 19 and 35 GPa as the Cu concentration and nitrogen flow rate were changed. HRTEM and XPS analyses showed that Mo-N/Cu films consisted of γ-Mo2N grains lower than 10 nm in size and a copper amorphous phase. The minimum value of coefficient of friction (CoF) of the films was 0.17 when the film tested by alumina ball.  相似文献   

15.
Cu3N-WC films were synthesized on an arc ion plated TiNx interlayer by direct current magnetron sputtering. The Cu3N-WC films, composed of columnar WC crystals 3-5 nm in size and amorphous Cu3N phases, were grown using the layer-plus-island mode. Deposition rate of Cu3N-WC films declined from 11.7 to 7.5 nm/min when the WC target power increased from 200 to 400 W because the Cu target was poisoned by the diffusion of WC molecules. Nano-indentation testing results showed that the highest measure of hardness of Cu3N-WC films was up to ∼ 41 GPa and the H3/E?2 value of the Cu3N-WC47.4 was around 0.41 GPa, indicating the excellent plastic deformation resistance of the film. Incorporation of the soft lubricant Cu3N phase and the uniform distribution of WC hard phases resulted in significant improvements in friction coefficient and wear resistance. As such, Cu3N-WC films have a good potential in future wear applications.  相似文献   

16.
Modification of AZO thin-film properties by annealing and ion etching   总被引:1,自引:0,他引:1  
Effects of annealing and ion etching on the structural, electrical and optical properties of sputtered ZnO:Al (AZO) thin films were investigated. The post-deposition annealing at temperatures TA = 200-400 °C in the forming gas (80% N2/20% H2) for 1 h and ion RF-sputter etching after annealing were used. Ion-sputter etching rate was 7 nm/min. The surface topography changed noticeably after ion-sputter etching: the surface of the sample was rougher (Ra = 33 nm) in comparison with annealed sample only (Ra = 9 nm). After the post-deposition annealing temperature TA = 400 °C and ion-sputter etching thin films have higher integral transmittance (in the range of λ = 400-1000 nm) than non-etched samples. The figure of merit (F) became higher with increase of annealing temperature and the maximum value was F = 8%/Ω at TA = 400 °C (Rs = 10 Ω, Tint = 86%).  相似文献   

17.
In this work we have grown CdS thin films using an ammonia-free chemical bath deposition process for the active layer in thin film transistors. The CdS films were deposited substituting sodium citrate for ammonia as the complexing agent. The electrical characterization of the as-deposited CdS-based thin film transistors shows that the field effect mobility and threshold voltage were in the range of 0.12-0.16 cm2V−1 s−1 and 8.8-25 V, respectively, depending on the channel length. The device performance was improved considerably after thermal annealing in forming gas at 250 °C for 1 h. The mobility of the annealed devices increased to 4.8-8.8 cm2V−1 s−1 and the threshold voltage decreased to 8.4-12 V. Ion/Ioff for the annealed devices was approximately 105-106.  相似文献   

18.
A series of ZnO/Cu/ZnO multilayer films has been fabricated from zinc and copper metallic targets by simultaneous RF and DC magnetron sputtering. Numerical simulation of the optical properties of the multilayer films has been carried out in order to guide the experimental work. The influences of the ZnO and Cu layer thicknesses, and of O2/Ar ratio on the photoelectric and structural properties of the films were investigated. The optical and electrical properties of the multilayers were studied by optical spectrometry and four point probe measurements, respectively. The structural properties were investigated using X-ray diffraction. The performance of the multilayers as transparent conducting coatings was compared using a figure of merit. In experiments, the thickness of the ZnO layers was varied between 4 and 70 nm and those of Cu were between 8 and 37 nm. The O2/Ar ratios range from 1:5 to 2:1. Low sheet resistance and high transmittance were obtained when the film was prepared using an O2/Ar ratio of 1:4 and a thickness of ZnO (60 nm)/Cu (15 nm)/ZnO (60 nm).  相似文献   

19.
Compound-based Cu paste was synthesized to prepare electrically conductive films on plastic substrate. The Cu pastes screen-printed onto polyimide were annealed inside a furnace and also by an ultraviolet laser beam and the effects of annealing conditions on the microstructures and electrical properties were investigated. Both of thermal and laser processes were carried out under N2 gas flow, which was very effective in preventing oxidation. The minimum resistivity available with thermal sintering was 1.30 × 10− 5 Ω cm and a slightly higher resistivity was obtained by laser sintering. This value is several orders of magnitude lower than that reported for the copper nanoparticle paste thermally sintered under N2 atmosphere. The variation of microstructure and electrical property with the laser power was very similar to the temperature dependence of these factors in thermal sintering.  相似文献   

20.
The structure, optical and electrical properties of transparent conducting oxide films depend greatly on the methods of preparation, heat treatment, type and level of dopant. Thin films of (CdO)1−x(In2O3)x have been grown by electron beam evaporation technique for different concentrations of In2O3 (x = 0, 0.05, 0.1, 0.15 and 0.2). Increase of doping led to increased carrier concentration as derived from optical data and hence to increased electrical conductivity, which degraded the transparency of the films. An improvement of the electrical and optical properties of Cadmium indium oxide (CdIn2O4) has been achieved by post-deposition annealing. A resistivity value of 7 × 10− 5 Ω cm and transmittance of 92% in the near infrared region and 82% in the visible region have been obtained after annealing at 300 °C for 90 min in air.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号