首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We propose a new approach to fabrication of hydrogenated amorphous silicon carbide (a-Si1−xCx:H) thin films for solar cells by the catalytic chemical vapor deposition (Cat-CVD) method using a carbon catalyzer, which is more stable than tungsten or tantalum. It was found that by using the carbon catalyzer, undoped and boron-doped a-Si1−xCx:H films were easily obtained from a SiH4, CH4 and B2H6 mixture without any change in the catalyzer surface, even after deposition for longer than 30 h.  相似文献   

2.
Super-hydrophobic poly-tetrafluoroethylene (PTFE) films, with a water contact angle of over 160°, are formed by catalytic chemical vapor deposition (Cat-CVD) under high catalyzer temperature or pressure. Hydrophobicity of the PTFE films is maintained even after annealing up to 300 °C. We demonstrate a novel method for forming metal lines using super-hydrophobic PTFE films. Water-based functional liquid containing silver nanoparticles dropped on the patterned PTFE film localizes only on hydrophilic regions, resulting in formation of metal lines after annealing up to 150 °C.  相似文献   

3.
Coverage properties of silicon nitride film prepared by the Cat-CVD method   总被引:2,自引:0,他引:2  
The coverage properties of silicon nitride (Si3N4) films prepared by the catalytic chemical vapor deposition (Cat-CVD) technique were systematically studied. By increasing the catalyzer–substrate distance, the coverage was improved from 46 to 67% on a 1.0-μm line and space pattern. The etching rate of Cat-CVD Si3N4 film measured using 16BHF solution was independent of the deposited position of the micro-patterns deposited, and was approximately 3 nm/min, one order of magnitude lower than that of plasma-enhanced CVD (PE-CVD) Si3N4 film. This means that Cat-CVD Si3N4 films are denser than PE-CVD Si3N4 films, and that the quality at the side wall is equivalent to that on the top surface. That is, Cat-CVD Si3N4 films show a passivation effect, which was excellent, even at the side wall of micro-patterns. These results suggest that Si3N4 films prepared by Cat-CVD are suitable for the passivation films in microelectronic devices having a step configuration, such as TFT-LCDs and ULSIs.  相似文献   

4.
We review the recent progress of Cat-CVD research in Japan since the 1st Cat-CVD conference in Kanazawa in 2000. Some groups, including ours, succeeded in realizing large-area deposition of amorphous silicon (a-Si) of approximately 1 m size, and thin film transistors (TFTs) with a mobility over several 10s of cm2 V−1 s−1 are fabricated using Cat-CVD polycrystalline silicon (poly-Si) films. Extensive studies of in situ cleaning methods revealed that a high rate of chamber cleaning is possible in Cat-CVD systems. Solar cell research is now carried out within the New Energy and Industrial Technology Development Organization (NEDO) project, and the study of Cat-CVD Si3N4 films prepared at lower than 100 °C is now a Japan Science and Technology Corporation (JST) project to use them as coatings on organic devices. The feasibility of Cat-CVD for various applications has been widely demonstrated, along with further understanding of the fundamental mechanism of the Cat-CVD process.  相似文献   

5.
Development of Cat-CVD apparatus for 1-m-size large-area deposition   总被引:1,自引:0,他引:1  
Thin film deposition on large-area substrates of 1-m size is demonstrated by catalytic chemical vapor deposition (Cat-CVD) apparatus equipped with a newly developed showerhead catalyzer unit. The arrangement of catalyzer wires for uniform film thickness was determined by simulation, assuming that decomposed species on catalyzers were transported by isotropic thermal diffusion without an influence of the gas flow. A film thickness uniformity of ±7.5% was successfully achieved on a substrate of 400 mm×960 mm at an average deposition rate of 32 nm/min for hydrogenated amorphous silicon (a-Si:H) film. Film thickness uniformity of ±8.6% for a-Si:H film and ±12.3% for silicon nitride film were also successfully obtained on substrates of 680 mm×880 mm size at an average deposition rate of 12.1 and 2.5 nm/min, respectively. These results suggest that Cat-CVD is a promising method for the fabrication of large-area devices such as thin-film-transistor liquid-crystal displays and solar cells.  相似文献   

6.
The effects of nitrogen ion bombardment on TiO2 films prepared by the Cat-CVD method have been studied to improve the optical and electrical properties of the material for use in Si thin film solar cells. The refractive index n and the dark conductivity of the TiO2 film increased with irradiation time. The refractive index n of the TiO2 film was changed from 2.1 to 2.4 and the electrical conductivity was improved from 3.4 × 10− 2 to 1.2 × 10− 1 S/cm by the irradiation. These results are due to the formation of Ti-N bonds and oxygen vacancies in the film.  相似文献   

7.
We have found that SiN passivation by catalytic chemical vapor deposition (Cat-CVD) can significantly increase an electron density of an AlGaN/GaN heterostructure field-effect transistor (HFET). This effect enables thin-barrier HFET structures to have a high-density two-dimensional electron gas and leads to suppression of short-channel effects. We fabricated 30-nm-gate Al0.4Ga0.6N(8 nm)/GaN HFETs using Cat-CVD SiN. The maximum drain current density and extrinsic transconductance were 1.49 A/mm and 402 mS/mm, respectively. Current-gain cutoff frequency and maximum oscillation frequency of the HFETs were 181 and 186 GHz, respectively. These high-frequency device characteristics are sufficiently high enough for millimeter-wave applications.  相似文献   

8.
TiO2 thin films prepared by Hot-Wire CVD method have been studied as a protecting material of transparent conducting oxide (TCO) against atomic hydrogen exposures for the fabrications of Si thin film solar cells. It was found that electrical conductivity of the films at room temperature reached a value of 0.4 S/cm. This value is 2-3 orders of magnitude higher than that of TiO2 films prepared by RF magnetron sputtering and electron-beam evaporation methods in our previous works. The conductivity improvement seems to be partly due to the enlargement of TiO2 crystallites.  相似文献   

9.
Specular X-ray reflectivity from SiO2 thin films prepared on silicon substrates by plasma-enhanced chemical vapor deposition showed the films to have a characteristic width of the decay in density at the free surface of 17 Å, to be about three-quarters the density of -quartz, and to have an interfacial layer at the silicon interface that was of the order of 100 Å wide and less dense than the bulk of the film. After chemical-mechanical polishing the characteristic width of the decay in density at the free surface was reduced to 10 Å; furthermore, the near-surface region to a depth of 30 Å had a greater density than the as-deposited film. Off-specular reflectivity confirmed that the decrease in characteristic width at the free surface was due to reduced roughness upon polishing and also revealed that the lateral correlation length in the limit of long wavelengths was the same for both polished and unpolished samples. The compression of the near-surface region during polishing is believed to enhance the dissolution of SiO2 into the slurry which is necessary to achieve smooth surfaces.  相似文献   

10.
Yohei Ogawa 《Thin solid films》2008,516(5):611-614
Silicon oxynitride (SiOxNy) films have been formed by adding proper amount of oxygen gas to usual forming condition of silicon nitride (SiNx) films in catalytic chemical vapor deposition (Cat-CVD) method. The composition and refractive index of the film can be systematically controlled by changing oxygen flow rate. Organic light-emitting diodes (OLEDs) covered with SiNx/SiOxNy stacked films have been completely protected from damage due to oxygen and moisture and their initial emission intensity is maintained over 1000 hours under 60 °C and 90% RH, which is equivalent to 50 000 hours in normal temperature and humidity conditions.  相似文献   

11.
In this work, high concentration erbium doping in silicon-rich SiO2 thin films is demonstrated. Si plus Er dual-implanted thermal SiO2 thin films on Si substrates have been fabricated by using a new method, the metal vapor vacuum arc ion source implantation with relatively low ion energy, strong flux and very high dose. X-Ray photoelectron spectroscopy measurement shows that very high Er concentrations on the surfaces of the samples, corresponding to 10 at.% or the doping level of 1021 atoms cm−3, are achieved. This value is much higher than that obtained by using other fabrication methods such as the high-energy ion implantation and molecular beam epitaxy. Reflective high-energy electron diffraction, atomic force microscopy and cross-section high-resolution transmission electron microscopy observations show that the excess Si atoms in SiO2 matrix accumulate to form Si clusters and then crystallize gradually into Si nanoparticles embedded in SiO2 films during dual-ion implantation followed by rapid thermal annealing. Er segregation and precipitates are not formed. Photoluminescence at the wavelength of 1.54 μm exhibits very weak temperature dependence due to the introduction of Si nanocrystals into the SiO2 matrix. The 1.54-μm light emission signals from annealed samples decrease by less than a factor of 2 when the measuring temperature increases from 77 K to room temperature.  相似文献   

12.
In this paper, bulk-Si metal–oxide–semiconductor field effect transistors (MOSFETs) are fabricated using the catalytic chemical vapor deposition (Cat-CVD) method as an alternative technology to the conventional high-temperature thermal chemical vapor deposition. Particularly, formation of low-resistivity phosphorus (P)-doped poly-Si films is attempted by using Cat-CVD-deposited amorphous silicon (a-Si) films and successive rapid thermal annealing (RTA) of them. Even after RTA processes, neither peeling nor bubbling are observed, since hydrogen contents in Cat-CVD a-Si films can be as low as 1.1%. Both the crystallization and low resistivity of 0.004 Ω·cm are realized by RTA at 1000 °C for only 5 s. It is also revealed that Cat-CVD SiNx films prepared at 250 °C show excellent oxidation resistance, when the thickness of films is larger than approximately 10 nm for wet O2 oxidation at 1100 °C. It is found that the thickness required to stop oxygen penetration is equivalent to that for thermal CVD SiNx prepared at 750 °C. Finally, complementary MOSFETs (CMOSs) of single-crystalline Si were fabricated by using Cat-CVD poly-Si for gate electrodes and SiNx films for masks of local oxidation of silicon (LOCOS). At 3.3 V operation, less than 1.0 pA μm−1 of OFF leakage current and ON/OFF ratio of 107–108 are realized, i.e. the devices can operate similarly to conventional thermal CVD process.  相似文献   

13.
Efficient production of H atoms, O atoms and OH radicals was confirmed by laser spectroscopic measurements in the catalytic decomposition of H2, O2 and their mixtures on a heated Ir filament. No change in electric resistivity was observed when the filament was kept at 2350 K in the presence of 1.0 Pa of pure O2, showing that oxidation is minor. Arrhenius-type temperature dependences were observed for the densities of H (O) atoms in pure H2 (O2) systems. In the H2/O2 mixed system, the H-atom density was almost independent of the O2 partial pressure, although the O-atom and OH-radical densities increased with the O2 pressure. These O2 pressure dependences are completely different from those observed for W. Ir is less poisoned by O2 compared to W. In addition, direct production of H2O molecules on Ir surfaces must take place besides the production of radical species.  相似文献   

14.
Silicon oxide films have been deposited at low temperatures in the range of 30–250 °C using Si2H6 and N2O by conventional plasma enhanced chemical vapor deposition technique. The dependencies of deposition temperatures on the film properties are studied. The leakage current and the etch rate of these low temperature films compare favorably to films deposited by silane and TEOS at higher temperatures, respectively.  相似文献   

15.
The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed.  相似文献   

16.
This study is aimed at improving the characteristics of silicon nitride (SiNx) film deposited by catalytic chemical vapor deposition (Cat-CVD) method. Cat-CVD method can deposit SiNx films that have low hydrogen content and high density at low temperature without any plasma damage to substrates. Usually silane (SiH4) and ammonia (NH3) are used for source gases. Then adding hydrogen (H2) gas to source gases makes characteristics of Cat-CVD SiNx improved. When using H2 gas, hydrogen content in SiNx film becomes lower and electronic reliability becomes higher.  相似文献   

17.
Clean oriented Al2O3 thin film with a dominant Al2O3 <1 1 3> plane was deposited on Si <1 0 0> substrate at 550 °C, by single-source chemical vapor deposition (CVD) using aluminium(III) diisopropylcarbamate, Al2(O2CNiPr2)6. This process represents a substantial reduction in typical CVD film growth temperatures which are typically > 1000 °C. Through the studies of thermal stability of this precursor, we propose a specific β-elimination decomposition pathway to account for the low temperature of the precursor decomposition at the substrate, and for the lack of carbon impurity byproducts in the resulting alumina films that are characterized using X-ray photoelectron spectroscopy and depth profiling.  相似文献   

18.
P. Lu  S. He  F. X. Li  Q. X. Jia 《Thin solid films》1999,340(1-2):140-144
Conductive RuO2 thin films were epitaxially grown on LaAlO3(100) and MgO(100) substrates by metal-organic chemical vapor deposition (MOCVD). The deposited RuO2 films were crack-free, and well adhered to the substrates. The RuO2 film is (200) oriented on LaAlO3 (100) substrates at deposition temperature of 600°C and (110) oriented on MgO(100) substrates at deposition temperature of 350°C and above. The epitaxial growth of RuO2 on MgO and LaAlO3 is demonstrated by strong in-plane orientation of thin films with respect to the major axes of the substrates. The RuO2 films on MgO(100) contain two variants and form an orientation relationship with MgO given by RuO2(110)//MgO(100) and RuO2[001]//MgO[011]. The RuO2 films on LaAlO3(100), on the other hand, contain four variants and form an orientation relationship with LaAlO3 given by RuO2(200)//LaAlO3(100) and RuO2[011]//LaAlO3[011]. Electrical measurements on the RuO2 thin films deposited at 600°C show room-temperature resistivities of 40 and 50 μΩ cm for the films deposited on the MgO and LaAlO3 substrates, respectively.  相似文献   

19.
Hf(OCH2CH2NMe2)4, [Hf(dmae)4] (dmae=dimethylaminoethoxide) was synthesized and used as a chemical vapor deposition precursor for depositing Hf oxide (HfO2). Hf(dmae)4 is a liquid at room temperature and has a moderate vapor pressure (4.5 Torr at 80 °C). It was found that HfO2 film could be deposited as low as 150 °C with carbon level not detected by X-ray photoelectron spectroscopy. As deposited film was amorphous but when the deposition temperature was raised to 400 °C, X-ray diffraction pattern showed that the film was polycrystalline with weak peak of monoclinic (020). Scanning electron microscope analysis indicated that the grain size was not significantly changed with the increase of the annealing temperature. Capacitance–voltage measurement showed that with the increase of annealing temperature, the effective dielectric constant was increased, but above 900 °C, the effective dielectric constant was decreased due to the formation of interface oxide. For 500 Å thin film, the dielectric constant of HfO2 film annealed at 800 °C was 20.1 and the current–voltage measurements showed that the leakage current density of the HfO2 thin film annealed at 800 °C was 2.2×10−6 A/cm2 at 5 V.  相似文献   

20.
Silicon oxynitride [SiO2:N] thin films have been grown by oxidizing silicon in N2O at 900, 1000 and 1100 °C and at 760 and 1520 torr. It is shown that the dominant electrical conduction mechanism, for high electric fields, is the field assisted thermionic emission from the traps (Poole-Frenkel effect), and is not direct or Fowler-Nordheim tunneling, as typically occurs in thermal silicon oxide with similar thickness. Electrical conduction in these films occurs by field assisted electron emission from donor traps with energy levels varying in the range from 0.5 to 1 eV from the conduction band. The results shown here indicate that the best quality films are those grown at low temperature and pressure, since they give films with a higher critical electric field, a higher energy barrier depth at the traps and less donors compensated by acceptors than those grown at high temperatures and pressures.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号