首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 445 毫秒
1.
C.S. Hsu  Y.H. Hsieh 《Vacuum》2008,83(3):708-710
This study describes the process of growing densely distributed metallic seeds of sizes ∼4 nm on SiO2 dielectric layers by sequential treatment in N2-H2 vacuum plasma (to activate the surfaces) and an aqueous solution of metallic salt (to adsorb metallic seeds). After the plasma treatment, the metallic seeds can be densely adsorbed onto the SiO2 dielectric layers, thus facilitating Co-P barrier layers of thickness only ∼10 nm to be grown using electroless plating. Conversely, for the SiO2 dielectric layers without the plasma pre-treatment, the population density of the metallic seeds is significantly reduced by one order and thus can only initiate the growth of a relatively thick (∼40 nm) barrier layer by the identical seeding and electroless plating conditions. Improvement in seeding by the plasma pre-treatment is discussed based on surface bonding modification.  相似文献   

2.
We present an atmospheric pressure plasma processing for ashing photo-resist (PR) layer in the flat panel display and semiconductor manufacturing. Removal of KrF PR, i-line PR, and negative color filter PR layers on a 6th-generation large area (1640 × 30 mm2) substrate was investigated by making use of a dielectric barrier discharge (DBD) plasma device, which is with a large number of gas-flowing holes. The nitrogen DBD plasma was generated with a mixture of compressed dry air (CDA) and SF6. To prevent thermal shrinkage of the PR layer, samples were maintained at a temperature less than 100 °C. Uniformity and reproducibility experiments have been carried out in terms of treatment time. Eventually, we obtained an ashing rate of about 600 nm/min for negative color filter PR, and 450 nm/min for KrF and i-line PR at a CDA concentration of 1%, a SF6 concentration of 0.5%, a carrier N2 gas flow rate of 1500 liters per minute (lpm) and at an applied power of 8 kW. Amorphous-Si layer loss which strongly depends on the fluorine radicals was at an acceptable level of 5 nm/min in the given conditions.  相似文献   

3.
Ruthenium (Ru) thin films were grown by atomic layer deposition using IMBCHRu [(η6-1-Isopropyl-4-MethylBenzene)(η4-CycloHexa-1,3-diene)Ruthenium(0)] as a precursor and a nitrogen-hydrogen mixture (N2/H2) plasma as a reactant, at the substrate temperature of 270 °C. In the wide range of the ratios of N2 and total gas flow rates (fN2/N2 + H2) from 0.12 to 0.70, pure Ru films with negligible nitrogen incorporation of 0.5 at.% were obtained, with resistivities ranging from ~ 20 to ~ 30 μΩ cm. A growth rate of 0.057 nm/cycle and negligible incubation cycle for the growth on SiO2 was observed, indicating the fast nucleation of Ru. The Ru films formed polycrystalline and columnar grain structures with a hexagonal-close-packed phase. Its resistivity was dependent on the crystallinity, which could be controlled by varying the deposition parameters such as plasma power and pulsing time. Cu was electroplated on a 10-nm-thick Ru film. Interestingly, it was found that the nitrogen could be incorporated into Ru at a higher reactant gas ratio of 0.86. The N-incorporated Ru film (~ 20 at.% of N) formed a nanocrystalline and non-columnar grain structure with the resistivity of ~ 340 μΩ cm.  相似文献   

4.
Nanostructured materials fabricated by dispersing metal particles on the dielectric surface have potential application in the field of nanotechnology. Interfacial metal particles/dielectric matrix interaction is important in manipulating the structural and optical properties of metal/dielectric films. In this work, a thin layer of gold (Au) was sputtered onto the surface of silicon oxide, SiOx (0.38 < x < 0.68) films which was deposited at different N2O/SiH4 flow rate ratios of 5 to 40 using plasma enhanced chemical vapor deposition (PECVD) technique prior to the annealing process at 800 °C. FTIR spectra demonstrate the intensity and full-width at half-maximum (FWHM) of Si-O-Si stretching peaks are significantly dependent on the N2O/SiH4 flow-rate ratio, η. The films deposited at low and high N2O/SiH4 flow rate ratios are dominated by the oxygen and silicon contents respectively. The size and concentration of Au particles distributed on the surface of SiOx films are dependent on the N2O/SiH4 flow-rate ratio. High concentrations of Au nanoparticles are distributed evenly on the surface of the film deposited at N2O/SiH4 flow-rate ratio of 30. Crystallinity and crystallite sizes of Au are enhanced after the thermal annealing process. Appearance of surface plasma resonance (SPR) absorption peaks at 524 nm for all samples are observed as a result of the formation of Au particles. The annealing process has improved SPR peaks for all the as-deposited films. The energy gap of the as-deposited Au/SiOx films are in the range of 3.58 to 4.38 eV. This energy gap increases after the thermal annealing process except for the film deposited at η = 5.  相似文献   

5.
Gradient structures with barrier layer characteristics and core-shell morphology have been developed in BaTiO3 ceramics with Nd2O3 and ZrO2 as co-dopants. Features include reduced Curie temperatures and anisotropic stress gradients, resulting from an oxidized surface layer and reduced interior, developed during air sintering. Co-doping was typically carried out through solution milling of the BaTiO3 powders with nitrate precursors of the dopant oxides, spray drying and sintering of the pressed pellets in air ambient at 1300-1320 °C/60-90 min with furnace cooling. Structural characterization, as well as dielectric and d.c. resistance measurements of the pellets, as-sintered and after removing equal amounts of material from both surfaces, revealed the existence of an oxidized surface layer and barrier layer microstructures consisting of graded regions of oxidized insulating surfaces over partially oxidized or conducting grain interiors. In this complex structure, the ZrO2 segregates to the grain boundary region, forming a core-shell structure, with Nd2O3 partitioning between the BaTiO3 and ZrO2 phases. The overall system was modeled in terms of an equivalent circuit and the analysis indicates that the dielectric constant and the loss behavior are strongly impacted by both the surface and grain boundary barrier characteristics, with the surface barrier effects having the more dominant effect on the dielectric properties of the doped compositions. Indications are that fine-tuning of the system to optimize the grain boundary effect could lead to extraordinary dielectric constant effects which could potentially be utilized in high energy storage devices.  相似文献   

6.
Wenli Yang 《Thin solid films》2006,515(4):1708-1713
Amorphous TiO2 thin films were formed by plasma-enhanced chemical vapor deposition (PECVD) from mixtures of titanium IV isopropoxide (Ti(O-i-C3H7)4) and oxygen. The deposition rate was found to be weakly activated, with an apparent activation energy of 4.5 kJ/mol. The deposition rate increased with equivalence ratio and decreased with plasma power. This dependence on atomic oxygen density was consistent with behavior observed in other metal oxide PECVD systems. Metal-insulator-silicon devices were fabricated, and characterized using capacitance-voltage measurements. The apparent dielectric constant of the TiO2 thin films increased from 15 to 82 with film thickness. The observed variations were consistent with the formation of an interfacial SiO2 layer. Assuming that a TiO2/SiO2 bilayer behaves as two capacitors in series, an intrinsic TiO2 dielectric constant of 82 ± 10 and an interfacial SiO2 layer thickness of 3 ± 1 nm were extracted from electrical measurements.  相似文献   

7.
Polyimide (PI) nanocomposites with different proportions of Al2O3 were prepared via two-step reaction. Silicon nitride (Si3N4) was deposited on PI composite films by a RF magnetron sputtering system and used as a gas barrier to investigate the water vapor transmission rate (WVTR). The thermal stability and mechanical properties of a pure PI film can be improved obviously by adding adequate content of Al2O3. At lower sputtering pressure (4 mTorr), the PI/Al2O3 hybrid film deposited with Si3N4 barrier film exhibits denser structure and lower root mean square (RMS) surface roughness (0.494 nm) as well as performs better in preventing the transmission of water vapor. The lowest WVTR value was obtained from the sample, 4 wt.%Al2O3-PI hybrid film deposited with Si3N4 barrier film with the thickness of 100 nm, before and after bending test. The interface bonding, Al-N and Al-O-Si, was confirmed with the XPS composition-depth profile.  相似文献   

8.
Effective top-side thin film encapsulation for organic light-emitting devices (OLEDs) was achieved by deposition of a multi-layer water diffusion barrier stack to protect the device against moisture permeation. The barrier stack was formed by alternative depositions of co-oxide and fluorocarbon (CFx) films. The co-oxide layer was fabricated by magnetron co-sputtering of silicon dioxide (SiO2) and aluminum oxide (Al2O3). While the CFx layer was formed by plasma enhanced chemical vapor deposition. The water vapor transmission rate of the optimized diffusion barrier stack can be down to 10− 6 g/m2/day. The OLEDs encapsulated with the multilayer stack have been shown to have operation lifetime of over 18,000 h which is nearly the same as devices with conventional glass-cover encapsulation.  相似文献   

9.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

10.
Growth of GaN on seeds of GaN prismatic single crystals was carried out at 900 °C and N2 pressure (PN2) of 0.8-7.0 MPa for 72 h by the Na flux method using premixed Na-Ga melts or Ga melt and Na vapor. Black GaN crystals, having some pits and striations on the facets, grew on the seeds when the premixed Na-Ga melts were used. A full-width at half maximum (FWHM) of the X-ray rocking curve measured for the (m plane) of the grown crystals was over 360 arcsec. Colorless and transparent GaN crystals with smooth facets were grown on the m plane of the seed crystals by using a Ga melt and Na vapor. The FWHM measured for the m plane of the colorless crystals was 112-204 arcsec. Cathodoluminescence (CL) spectra from the m plane of the crystals were measured at room temperature. Besides a near-band-edge (NBE) emission at 361-363 nm, the specimens grown with Ga melt and Na vapor at higher PN2 had a broad deep emission peak at 617 nm, while the specimens grown at lower PN2 had a shallow-level emission peak at 380 nm and a broad deep emission peak at 550 nm.  相似文献   

11.
J.P. Xu  P.T. Lai  C.X. Li 《Thin solid films》2009,517(9):2892-2895
Annealing of high-permittivity HfTiO gate dielectric on Ge substrate in different gases (N2, NH3, NO and N2O) with or without water vapor is investigated. Analysis by transmission electron microscopy indicates that the four wet anneals can greatly suppress the growth of a GeOx interlayer at the dielectric/Ge interface, and thus decrease interface states, oxide charges and gate leakage current. Moreover, compared with the wet N2 anneal, the wet NH3, NO and N2O anneals decrease the equivalent permittivity of the gate dielectric due to the growth of a GeOxNy interlayer. Among the eight anneals, the wet N2 anneal produces the best dielectric performance with an equivalent relative permittivity of 35, capacitance equivalent thickness of 0.81 nm, interface-state density of 6.4 × 1011 eV− 1 cm− 2 and gate leakage current of 2.7 × 10− 4 A/cm2 at Vg = 1 V.  相似文献   

12.
The effects of boiling Aqua Regia (AQ), N2/Cl2 plasma followed by AQ and O2 plasma followed by AQ surface treatments prior to Ni/Au (20 nm/20 nm) metallization to p-GaN:Mg (∼ 3 × 1017 cm− 3) have been investigated. N2/Cl2 plasma was employed in a bid to lower the Ga/N and O/Ga ratios of the GaN surface to improve the contact properties to p-GaN, while O2 plasma was employed as an alternative to incorporate O into the Ni/Au system. Results show that a low Ga/N ratio does not necessarily correspond to a better contact. The positive effect of O2 over N2 anneal is observed only for the AQ-treated sample, although the mechanisms responsible for its positive effect: NiO formation and Ni/Au layer-reversal were observed for all O2-annealed contacts. We conclude that the effect of O2 anneal on the Ni/Au contact is dependant on the p-GaN surface prior to metallization.  相似文献   

13.
Wanyu Ding  Jun Xu  Xinlu Deng 《Thin solid films》2010,518(8):2077-5323
Hydrogen-free amorphous silicon nitride (SiNx) films were deposited at room temperature by microwave electron cyclotron resonance plasma-enhanced unbalance magnetron sputtering. Varying the N2 flow rate, SiNx films with different properties were obtained. Characterization by Fourier-transform infrared spectrometry revealed the presence of Si-N and Si-O bonds in the films. Growth rates from 1.0 to 4.8 nm/min were determined by surface profiler. Optical emission spectroscopy showed the N element in plasma mainly existed as N+ species and N2+ species with 2 and 20 sccm N2 flow rate, respectively. With these results, the chemical composition and the mechanical properties of SiNx films strongly depended on the state of N element in plasma, which in turn was controlled by N2 flow rate. Finally, the film deposited with 2 sccm N2 flow rate showed no visible marks after immersed in etchant [6.7% Ce(NH4)2(NO3)6 and 93.3% H2O by weight] for 22 h and wear test for 20 min, respectively.  相似文献   

14.
Next generation CMOS devices use a high-κ dielectric layer (HfO2, HfSiO, HfSiON and La2O3) grown on thin interfacial silicon dioxide as the gate dielectric. The higher dielectric constant of the Hf oxide based film stack allows a decrease in equivalent oxide thickness (EOT). Because the high-κ film stack has a greater physical thickness than an electrically equivalent SiO2 film, the tunneling current decreases. It is a critical metrology requirement to measure the thickness of silicon dioxide and high-κ film stacks. Spectroscopic ellipsometry (SE) in the far UV wavelength region can be used to differentiate the high-κ films from silicon dioxide. This is due to the non-zero nature of the imaginary part of the dielectric function (beyond 6 eV) in the far UV region for high-κ films. There has been some conjecture that optical studies should be extended beyond 150 nm further into the VUV. This study addresses these concerns through determination of the dielectric function down to 130 nm. We show the fitted dielectric function of hafnium silicates and lanthanum oxide down to 130 nm. X-ray reflectivity (XRR) measurements were also performed on the high-κ films to complement the thickness measurements performed with SE.  相似文献   

15.
A.E. Bekheet  N.A. Hegab 《Vacuum》2008,83(2):391-396
Amorphous films of Ge20Se75In5 chalcogenide glass were prepared using a thermal evaporation technique. The chemical composition of the deposited films was examined using energy dispersive X-ray spectroscopy (EDX). The ac conductivity and dielectric properties of the prepared films have been studied as a function of temperature in the range from 300 to 423 K and frequency in the range from 102 to 105 Hz. The experimental results indicate that ac conductivity σac(ω) is proportional to ωs where s equals 0.902 at room temperature and decreases with increasing temperature. The results obtained are discussed in terms of the correlated barrier hopping (CBH) model. The density of localized states N(EF) at the Fermi level is found to have values of the order 1019 eV−1 cm−3, which increase with temperature. The dielectric constant ?1 and dielectric loss ?2 were found to decrease with increasing frequency and to increase with increasing temperature over the ranges studied. The maximum barrier height Wm was estimated from an analysis of the dielectric loss ?2 according to Giuntini equation. Its value for the deposited films (0.43 eV) agrees with that proposed by the theory of hopping of charge carrier over a potential barrier as suggested by Elliott for chalcogenide glasses.  相似文献   

16.
Dry etching of GaAs was investigated in BCl3, BCl3/N2 and BCl3/Ar discharges with a mechanical pump-based capacitively coupled plasma system. Etched GaAs samples were characterized using scanning electron microscopy and surface profilometry. Optical emission spectroscopy was used to monitor the BCl3-based plasma during etching. Pure BCl3 plasma was found to be suitable for GaAs etching at > 100 mTorr while producing a clean and smooth surface and vertical sidewall. Adding N2 or Ar to the BCl3 helped increase the etch rates of GaAs. For example, the GaAs etch rate was doubled with 20% N2 composition in the BCl3/N2 plasma compared to the pure BCl3 discharge at 150 W CCP power and 150 mTorr chamber pressure. The GaAs etch rate was ∼ 0.21 µm/min in the 20 sccm BCl3 plasma. The BCl3/Ar plasma also increased etch rates of GaAs with 20% of Ar in the discharge. However, the surface morphology of GaAs was strongly roughened with high percentage (> 30%) of N2 and Ar in the BCl3/N2 and BCl3/Ar plasma, respectively. Optical emission spectra showed that there was a broad BCl3-related molecular peak at 450-700 nm wavelength in the pure BCl3 plasma. When more than 50% N2 was added to the BCl3 plasma, an atomic N peak (367.05 nm) and molecular N2 peaks (550-800 nm) were detected. Etch selectivity of GaAs to photoresist decreased with the increase of % N2 and Ar in the BCl3-based plasma.  相似文献   

17.
Using Ca(NO3)2·4H2O, Mg(NO3)2·6H2O, Si(OC2H5)4, LiNO3 and Bi(NO3)3·5H2O as raw materials, CaO-MgO-SiO2 submicron powders were prepared at low temperature by sol-gel method. The crystallization temperature was decreased enormously by the introduction of Li-Bi liquid phase sintering aids into Ca-Mg-Si sol, and the powders with average particle sizes of 80-100 nm and 200-400 nm were obtained at the calcining temperature of 750 °C and 800 °C, respectively. The sintering characteristic and dielectric properties of powders calcined at 750 °C with different content of powders calcined at 800 °C were studied. When the content of powders calcined at 800 °C was 10 wt%, the dielectric ceramic sintered at 890 °C had compact structure, and possessed excellent microwave dielectric properties: ?r = 7.16, Q × f = 25630 GHz, τf = −69.26 ppm/°C.  相似文献   

18.
Metal-oxide-semiconductor characteristics of thermally grown nitrided SiO2 (9-11.5 nm) on n-type 4H-SiC at 1175 °C in various N2O ambient (1, 10, and 50% N2O mixed with 99, 90, and 50% of high purity N2 gas, respectively) have been investigated. The chemical composition of oxide-semiconductor interface has been evaluated by X-ray photoelectron spectroscopy. The interfacial layer consists of either silicon oxynitride, silicon nitride, and/or silicon oxide phases that may be segregated or mixed in a single layer. Depending on the percentage of N2O being used, the stoichiometry may vary accordingly. The lowest leakage current density is recorded for thin film oxide grown in10% N2O and it is limited to an applied electric field of not more than 7 MV/cm. This is attributed to the lowest density value of deep oxide trap in this sample if compared with others. The highest dielectric breakdown field has been obtained for thin film oxide grown in 50% N2O as this sample is having the lowest interface trap density and negative effective oxide charge. The origin of these charges is explained in the text.  相似文献   

19.
Lithium phosphorus oxynitride (Lipon) thin films have been deposited by a plasma-enhanced metalorganic chemical vapor deposition method. Lipon thin films were deposited on approximately 0.2 μm thick Au-coated alumina substrates in a N2-H2-Ar plasma at 13.56 MHz, a power of 150 W, and at 180 °C using triethyl phosphate [(CH2CH3)3PO4] and lithium tert-butoxide [(LiOC(CH3)3] precursors. Lipon growth rates ranged from 10 to 42 nm/min and thicknesses varied from 1 to 2.5 μm. X-ray powder diffraction showed that the films were amorphous, and X-ray photoelectron spectroscopy (XPS) revealed approximately 4 at.% N in the films. The ionic conductivity of Lipon was measured by electrochemical impedance spectroscopy to be approximately 1.02 μS/cm, which is consistent with the ionic conductivity of Lipon deposited by radio frequency magnetron sputtering of Li3PO4 targets in either mixed Ar-N2 or pure N2 atmosphere. Attempts to deposit Lipon in a N2-O2-Ar plasma resulted in the growth of Li3PO4 thin films. The XPS analysis shows no C and N atom peaks. Due to the high impedance of these films, reliable conductivity measurements could not be obtained for films grown in N2-O2-Ar plasma.  相似文献   

20.
Cz n-type Si (100) wafers covered with a 220 nm SiO2 layer or a 170 nm Si3N4 layer were singly implanted with 160 keV He ions at a dose of 5 × 1016/cm2 or successively implanted with 160 keV He ions at a dose of 5 × 1016/cm2 and 110 keV H ions at a dose of 1 × 1016/cm2. Surface morphologies together with defect microstructures have been studied by means of several techniques, including optical microscopy, atomic force microscopy, and cross-sectional transmission electron microscopy (XTEM). Only surface blistering has been observed for He and H sequentially implanted SiO2/Si samples after annealing in temperature range up to 1000 °C. However, as for the He and H implanted Si3N4/Si samples, surface features including blistering and the localized exfoliation of both the top Si3N4 layer and the implanted Si layer have been well demonstrated during subsequent annealing. XTEM observations reveal quite different defect morphologies in two kinds of materials under the same implantation and annealing conditions. The possible mechanisms of surface damage in two kinds of materials have been discussed and presented based on the XTEM results.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号