首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 812 毫秒
1.
Oxygen plasma treatment process was used to passivate the non-stoichiometric HfO2 films deposited by magnetron sputtering. After optimal oxygen plasma treatment, the gate leakage of HfO2 films would be reduced and dielectric breakdown voltage would be improved to 30 percentage. XPS spectrum was used to analysis the non-stoichiometric HfO2 films after oxygen plasma treatment which demonstrate a higher concentration of incorporated oxygen atoms at the surface in comparison to the bulk HfO2. This simple method can maintain high-k dielectric deposition process at room temperature by sputtering. It would be useful for fabrication thin film transistor on polymer based substrate in the future.  相似文献   

2.
We have investigated the effects of annealing temperature on the physical and electrical properties of the HfO2 film deposited by an atomic layer deposition (ALD) method for high-k gate oxides in thin-film-transistors (TFTs). The ALD deposition of HfO2 directly on the Si substrate at 300 °C results in the formation of thin HfSixOy interfacial layer between Si and HfO2. The subsequent low temperature N2-annealing of HfO2 films (i.e., 300 °C) using a rapid thermal processor (RTP) improves the overall electrical characteristics of HfSixOy-HfO2 films. Based on the current work, we suggest that HfO2 film deposited by the ALD method is suitable for high-k gate oxides in TFTs, which have to be fabricated at low temperature.  相似文献   

3.
High-k gate dielectric HfO2 thin films have been deposited on Si and quartz substrate by radio frequency magnetron sputtering. The structural and optical properties of HfO2 thin films related to deposition power are investigated by X-ray diffraction (XRD), fourier transform infrared spectroscopy (FTIR), atomic force microscopy (AFM), ultraviolet–visible spectroscopy (UV–Vis), and spectroscopic ellipsometry (SE). Results confirmed by XRD have shown that the as-deposited HfO2 thin films are not amorphous state but in monoclinic phase, regardless of deposition power. Analysis from FTIR indicates that an interfacial layer has been formed between the Si substrate and the HfO2 thin film during deposition. AFM measurements illustrate that the root mean square (RMS) of the as-deposited HfO2 thin films’ surface demonstrates an apparent reduction with the increase of deposition. Combined with UV–Vis and SE measurements, it can be noted reduction in band gap with an increase in power has been observed. Additionally, increase in refractive index (n) has been confirmed by SE.  相似文献   

4.
Kibyung Park 《Thin solid films》2010,518(15):4126-6377
HfO2 films are not easily deposited on hydrophobic self-assembled monolayer (SAM)-passivated surfaces. However, in this study, we deposited HfO2 films on a tetradecyl-modified SAM with a Ge surface using atomic layer deposition at 350 °C. A slightly thinner HfO2 film thickness was obtained on the tetradecyl-modified SAM passivated samples than that typically obtained on GeOx-passivated samples. The resulting electrical properties are explained by the physical thickness and stoichiometry of the interfacial layer.  相似文献   

5.
HfO2 thin films were prepared by reactive DC magnetron sputtering technique on (100) p-Si substrate. The effects of O2/Ar ratio, substrate temperature, sputtering power on the structural properties of HfO2 grown films were studied by Spectroscopic Ellipsometer (SE), X-ray diffraction (XRD), Fourier transform infrared (FTIR) spectrum, and X-ray photoelectron spectroscopy (XPS) depth profiling techniques. The results show that the formation of a SiOx suboxide layer at the HfO2/Si interface is unavoidable. The HfO2 thickness and suboxide formation are highly affected by the growth parameters such as sputtering power, O2/Ar gas ratio during sputtering, and substrate temperature. XRD spectra show that the deposited films have (111) monoclinic phase of HfO2, which is also supported by FTIR spectra. XPS depth profiling spectra shows that highly reactive sputtered Hf atoms consume some of the oxygen atoms from the underlying SiO2 to form HfO2, leaving Si-Si bonds behind.  相似文献   

6.
Hafnium oxide (HfO2) films were prepared using a pulsed sputtering method and different O2/(O2 + Ar) ratios, deposition pressures, and sputtering powers. Spectroscopic ellipsometry (SE) and positron annihilation spectroscopy (PAS) were used to investigate the influence of the deposition parameters on the number of open volume defects (OVDs) in the HfO2 films. The results reveal that a low O2/(O2 + Ar) ratio is critical for obtaining films with a dense structure and low OVDs. The film density increased and OVDs decreased when the deposition pressure was increased. The film deposited at high sputtering power showed a denser structure and lower OVDs. Our results suggest that SE and PAS are effective techniques for studying the optical properties of and defects in HfO2 and provide an insight into the fabrication of high-quality HfO2 thin films for optical applications.  相似文献   

7.
The growth, composition and morphology of HfO2 films that have been deposited by atomic layer deposition (ALD) are examined in this article. The films are deposited using two different ALD chemistries: i) tetrakis ethylmethyl amino hafnium and H2O at 250° and ii) tetrakis dimethyl amino hafnium and H2O at 275 °C. The growth rates are 1.2 Å/cycle and 1.0 Å/cycle respectively. The main impurities detected both by X-ray Photoelectron Spectroscopy and Fourier transform infrared spectroscopy (FTIR) are bonded carbon (~ 3 at.%) and both bulk and terminal OH species that are partially desorbed after high temperature inert anneals up to 900 °C. Atomic Force Microscopy reveals increasing surface roughness as a function of increasing film thickness. X-ray diffraction shows that the morphology of the as-deposited films is thickness dependent; films with thickness around 30 nm for both processes are amorphous while ~ 70 nm films show the existence of crystallites. These results are correlated with FTIR measurements in the far IR region where the HfO2 peaks are found to provide an easy and reliable technique for the determination of the crystallinity of relatively thick HfO2 films. The index of refraction for all films is very close to that for bulk crystalline HfO2.  相似文献   

8.
Thin HfO2 films were grown as high-k dielectrics for Metal-Insulator-Metal applications by Atomic Vapor Deposition on 8 inch TiN/Si substrates using pure tetrakis(ethylmethylamido)hafnium precursor. Influence of deposition temperature (320-400 °C) and process pressure (2-10 mbar) on the structural and electrical properties of HfO2 was investigated. X-ray diffraction analysis showed that HfO2 layers, grown at 320 °C were amorphous, while at 400 °C the films crystallized in cubic phase. Electrical properties, such as capacitance density, capacitance-voltage linearity, dielectric constant, leakage current density and breakdown voltage are also affected by the deposition temperature. Finally, TiN/HfO2/TiN stacks, integrated in the Back-End-of-Line process, possess 3 times higher capacitance density compared to standard TiN/Si3N4/TiN capacitors. Good step coverage (> 90%) is achieved on structured wafers with aspect ratio of 2 when HfO2 layers are deposited at 320 °C and 4 mbar.  相似文献   

9.
Atomic layer deposition was applied to fabricate metal oxide films on planar substrates and also in deep trenches with appreciable step coverage. Atomic layer deposition of Ru electrodes was realized on planar substrates. Electrical and structural behaviour of HfO2-TiO2 and Al2O3-TiO2 nanolaminates and mixtures as well as Al2O3 films were evaluated. The lowest leakage current densities with the lowest equivalent oxide thickness were achieved in mixed Al2O3-TiO2 films annealed at 700 °C, compared to all other films in as-deposited state as well as annealed at 900 °C. The highest permittivities in this study were measured on HfO2-TiO2 nanolaminates.  相似文献   

10.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

11.
Ultra thin HfO2 films were grown by the atomic layer deposition (ALD) technique using tetrakismethylethylaminohafnium (Hf[N(CH)3(C2H5)]4) and ozone (O3) as the precursors and subsequently exposed to various plasma conditions, i.e., CCP (capacitively coupled plasma) and MMT (modified magnetron typed plasma) in N2 or N2/O2 ambient. The conventional CCP treatment was not effective in removing the carbon impurities, which were incorporated during the ALD process, from the HfO2 films. However, according to the X-ray photoelectron spectroscopy measurements, the MMT treated films exhibited a significant reduction in their carbon contents and the efficient incorporation of nitrogen atoms. Although the incorporated nitrogen was easily released during the post-thermal annealing of the MMT treated samples, it was more effective than the CCP treatment in removing the film impurities. Consequently, the MMT treated samples exhibited excellent electrical properties as compared to the as-deposited HfO2 films, including negligible hysteresis (flatband voltage shift), a low leakage current, and the reduced equivalent oxide thickness of the gate stack. In conclusion, MMT post treatment is more effective than conventional CCP treatment in improving the electrical properties of high-k films by reducing the carbon contamination and densifying the as-deposited defective films.  相似文献   

12.
ZrO 2 films on silicon wafer were deposited by microwave plasma enhanced chemical vapour deposition technique using zirconium tetratert butoxide (ZTB). The structure and composition of the deposited layers were studied by fourier transform infrared spectroscopy (FTIR). The deposition rates were also studied. MOS capacitors fabricated using deposited oxides were used to characterize the electrical properties of ZrO 2 films. The films showed their suitability for microelectronic applications.  相似文献   

13.
The objective of this work was to investigate the improvement in performance of dye sensitized solar cells (DSSCs) by depositing ultra thin metal oxides (hafnium oxide (HfO2) and aluminum oxide (Al2O3)) on mesoporous TiO2 photoelectrode using atomic layer deposition (ALD) method. Different thicknesses of HfO2 and Al2O3 layers (5, 10 and 20 ALD cycles) were deposited on the mesoporous TiO2 surface prior to dye loading process used for fabrication of DSSCs. It was observed that the ALD deposition of ultrathin oxides significantly improved the performance of DSSCs and that the improvement in the DSSC performance depends on the thickness of the deposited HfO2 and Al2O3 films. Compared to a reference DSSC the incorporation of a HfO2 layer resulted in 69% improvement (from 4.2 to 7.1%) in the efficiency of the cell and incorporation of Al2O3 (20 cycles) resulted in 19% improvement (from 4.2 to 5.0%) in the efficiency of the cell. These results suggest that ultrathin metal oxide layers affect the density and the distribution of interface states at the TiO2/organic dye and TiO2/liquid electrolyte interfaces and hence can be utilized to treat these interfaces in DSSCs.  相似文献   

14.
In the present work we report on the structural and electrical properties of metal-oxide-semiconductor (MOS) devices with HfO2/Dy2O3 gate stack dielectrics, deposited by molecular beam deposition on p-type germanium (Ge) substrates. Structural characterization by means of high-resolution Transmission Electron Microscopy (TEM) and X-ray diffraction measurements demonstrate the nanocrystalline nature of the films. Moreover, the interpretation of the X-ray reflectivity measurements reveals the spontaneous growth of an ultrathin germanium oxide interfacial layer which was also confirmed by TEM. Subsequent electrical characterization measurements on Pt/HfO2/Dy2O3/p-Ge MOS diodes show that a combination of a thin Dy2O3 buffer layer with a thicker HfO2 on top can give very good results, such as equivalent oxide thickness values as low as 1.9 nm, low density of interfacial defects (2-5 × 1012 eV− 1 cm− 2) and leakage currents with typical current density values around 15 nA/cm2 at Vg = VFB − 1V.  相似文献   

15.
Hysteresis-free hafnium oxide films were fabricated by atomic layer deposition at 90 °C without any post-deposition annealing, and their structures and properties were compared with films deposited at 150 °C and 250 °C. The refractivity, bandgap, dielectric constant and leakage current density all increase with deposition temperature, while the growth rate and breakdown field decrease. All films are amorphous with roughly the same composition. Although the thin films deposited at the above-mentioned temperatures all show negligible hysteresis, only the 90 °C-deposited films remain hysteresis-free when the film thickness increases. The 90 °C-deposited films remain hysteresis-free after annealing at 300 °C. The hysteresis in films deposited at high temperatures increases with deposition temperature. Evidences show such hysteresis originates in the HfO2 film instead of the interface. Based on a careful structure analysis, middle-range order is suggested to influence the trap density in the films. HfO2 films deposited at low temperature with negligible hysteresis and excellent electrical properties have great potential for the fabrication and integration of devices based on non-silicon channel materials and in applications as tunneling and blocking layers in memory devices.  相似文献   

16.
HfO2 films were deposited on silicon substrates by the oxygen-assisted decomposition of hafnium β-diketonates at temperatures in the range 400–550 °C. These films were characterized by using transmission electron microscopy, X-ray diffraction, electron microprobe analysis and measurements of dielectric and optical properties. It was found that the films were fine-grained (approximately 325 Å) nearly stoichiometric monoclinic HfO2. The films showed high resistance to most aqueous acids and bases. The deposits had a refractive index of 2.1 and an optical energy gap of 5.68 eV. The dielectric constant at 1 MHz was 22–25, and the dielectric strenght of the HfO2 films varied between 2 × 106 and 4.5 × 106 V cm?1. C-V measurements at 1 MHz indicated the presence of effective surface states which varied between 1.0 × 1011 and 6 × 1011 cmt?2 for films that were deposited at temperatures higher than 500 °C or that were annealed at above 750 °C if deposited at 400–450 °C. The VFB values were between ?0.6 and 0 V. The annealed films or films grown above 500 °C showed good bias-temperature stability. When positive bias and elevated temperatures were applied, the original C-V curve moved towards higher positive field values (0.2-0.5 V). After applying negative bias at elevated temperatures the C-V curved moved back in the direction of the original C-V curve. Measurements of the dependence of the current I on the electric field showed a dependence of IV2 over a wide range.  相似文献   

17.
Very thin HfO2 films were deposited directly on Si substrates by the pulsed laser deposition technique in a wide range of substrate temperatures and oxygen pressures to investigate the kinetics of the interfacial layer formation. Angle-resolved X-ray photoelectron spectroscopy (XPS) investigations showed that the interfacial layer formed between the Si substrate and the deposited oxide contains a mixture of HfO2 and SiO2 without any strong evidence to support the formation of a silicate-type compound. X-Ray reflectivity measurements showed that the mass density of the interfacial layer is higher than that of pure SiO2, while spectroscopic ellipsometry measurements showed that the refractive index is higher than that of pure SiO2, therefore corroborating the XPS results.  相似文献   

18.
Hydrated ZrO2 thin films were prepared by reactive sputtering in O2, H2O, and H2O + H2O2 mixed gas, and the effect of the sputtering atmosphere on ion conductivity of the films was investigated. The results showed that the films deposited in O2 gas exhibited poor ion conductivity; however, the ion conductivities of the films deposited in the other two kinds of atmosphere were similar and 300-500 times higher than that of the films deposited in O2 gas. It was indicated that the higher ion conductivity of the films was caused by lower film density and higher water content.  相似文献   

19.
We have investigated the annealing effects of HfO2 films deposited by an atomic layer deposition (ALD) method on the electrical and physical properties in the Si/SiO2/Pt/ALD-HfO2/Pd metal-insulator-metal (MIM) capacitors. If the annealing temperature for HfO2 films was restricted below 500 °C, an annealing step using a rapid thermal processor (RTP) improves the electrical properties such as the dissipation factor and the dielectric constant. On the other hand, annealing at 700 °C degrades the electrical characteristics in general; the dissipation factor increases over the frequency range of 1∼4 MHz, and the leakage current increases up to 2 orders at the low electric field regions. We found that the degradation of electrical properties is due to the grain growth in the HfO2 film (i.e., poly-crystallization of the film) by the high temperature annealing processing. We suggested that the annealing temperature must be restricted below 500 °C to obtain the high quality high-k film for the MIM capacitors.  相似文献   

20.
Glass-ceramics containing (Hf,Zr)-zirconolite crystals (nominally CaHf1−x Zr x Ti2O7 with 0 ≤ x ≤ 1) were envisaged to immobilize minor actinides and plutonium. Such materials were prepared in this study by controlled crystallization of glasses belonging to the SiO2–Al2O3–CaO–Na2O–TiO2–HfO2–ZrO2–Nd2O3 system. Neodymium was used as trivalent actinides surrogate. The effect of total or partial substitution of ZrO2 by HfO2 (neutron poison for fission reactions) on glass crystallization in the bulk and near the surface is presented. It appeared that Hf/Zr substitution had not significant effect on nature, structure, and composition of crystals formed both on glass surface (titanite + anorthite) and in the bulk (zirconolite). This result can be explained by the close properties of Zr4+ and Hf4+ ions and by their similar structural role in glass structure. However, strong differences were observed between the nucleation rate IZ of zirconolite crystals in glasses containing only HfO2 and in glasses containing only ZrO2. Hf-zirconolite (CaHfTi2O7) crystals were shown to nucleate only very slowly in comparison with Zr-zirconolite (CaZrTi2O7) crystals. Composition changes - by increasing either HfO2 or Al2O3 concentration or by introducing ZrO2 in parent glass - were performed to increase IZ in hafnium-rich glasses. The proportion of Nd3+ ions incorporated in the zirconolite phase was estimated using ESR.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号