首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Hyun-Woo Kim 《Thin solid films》2009,517(14):3990-6499
Flat, relaxed Ge epitaxial layers with low threading dislocation density (TDD) of 1.94 × 106 cm− 2 were grown on Si(001) by ultrahigh vacuum chemical vapor deposition. High temperature Ge growth at 500 °C on 45 nm low temperature (LT) Ge buffer layer grown at 300 °C ensured the growth of a flat surface with RMS roughness of 1 nm; however, the growth at 650 °C resulted in rough intermixed SiGe layer irrespective of the use of low temperature Ge buffer layer due to the roughening of LT Ge buffer layer during the temperature ramp and subsequent severe surface diffusion at high temperatures. Two-dimensional Ge layer grown at LT was very crucial in achieving low TDD Ge epitaxial film suitable for device applications.  相似文献   

2.
We investigated the structural properties of Zn-polar ZnO films with low temperature (LT) ZnO and MgO buffer layers grown by plasma-assisted molecular beam epitaxy on (0001) c-Al2O3 substrates using X-ray diffraction and transmission electron microscopy (TEM). The effects of MgO buffer layer thickness and LT ZnO buffer layer thickness were also examined. The optimum thicknesses for better crystal quality were 8 and 40 nm. One-pair and two-pair LT ZnO/MgO buffer layers were employed, and the changes in the structural properties of the high-temperature (HT) ZnO films using such buffer layers were studied. Contrary to the general tendency of c-ZnO films, the HT ZnO films on the LT ZnO/MgO buffer layers showed higher full width at half maximum (FWHM) values for X-ray rocking curves (XRCs) with (0002) reflection than those with (101?1) reflection. Compared with the one-pair LT ZnO/MgO buffer layers, the FWHM values of (0002) XRCs markedly decreased, whereas those of (101?1) XRCs slightly increased due to the insertion of one more pair of LT ZnO/MgO buffer layers into the previous film with one-pair LT ZnO/MgO buffer layers. The cross-sectional TEM observations with the two-beam condition confirmed that the screw dislocation was the dominant threading dislocation type—a finding that agreed well with the XRC results. On the basis of the plan-view TEM observations, the densities of the total threading dislocations for the HT ZnO films with the one- and two-pair LT ZnO/MgO buffer layers were determined as 2.3 × 109 cm− 2 and 1.6 × 109 cm− 2, respectively. The results imply that the crystal quality of Zn-polar ZnO films can be improved by two-pair LT ZnO/MgO buffer layers, and types of threading dislocations can be modified by adjusting the buffer system.  相似文献   

3.
A study of Ge epilayer growth directly on a Si(001) substrate is presented, following the two temperature Ge layer method. In an attempt to minimize the overall thickness while maintaining a good quality Ge epilayer, we have investigated the effect of varying the thickness of both the low and high temperature Ge layers, grown at 400 °C and 670 °C, respectively, by reduced pressure chemical vapor deposition. We find that the surface of the low temperature (LT) seed layer has a threading dislocation density (TDD) to the order of 1011 cm− 2. On increasing the LT layer thickness from 30 nm to 150 nm this TDD decreases by a factor of 2, while its roughness doubles and degree of relaxation increases from 82% to 96%. Growth of the high temperature (HT) layer reduces the TDD level to around 108 cm− 2, which is also shown to decrease with increasing layer thickness. Both the surface roughness and degree of relaxation reach stable values for which increasing the thickness beyond about 700 nm has no effect. Finally, annealing the HT layer is shown to reduce the TDD, without affecting the degree of relaxation. However, unless a thick structure is used the surface roughness increases significantly on annealing.  相似文献   

4.
In0.01Ga0.99As thin films free of anti-phase domains were grown on 7° offcut Si (001) substrates using Ge as buffer layers. The Ge layers were grown by ultrahigh vacuum chemical vapor deposition using ‘low/high temperature’ two-step strategy, while the In0.01Ga0.99As layers were grown by metal-organic chemical vapor deposition. The etch-pit counting, cross-section and plane-view transmission electron microscopy, room temperature photoluminescence measurements are performed to study the dependence of In0.01Ga0.99As quality on the thickness of Ge buffer. The threading dislocation density of Ge layer was found to be inversely proportional to the square root of its thickness. The threading dislocation density of In0.01Ga0.99As on 300 nm thick Ge/offcut Si was about 4 × 108 cm− 2. Higher quality In0.01Ga0.99As can be obtained on thicker Ge/offcut Si virtual substrate. We found that the threading dislocations acted as non-radiative recombination centers and deteriorated the luminescence of In0.01Ga0.99As remarkably. Secondary ion mass spectrometry measurement indicated as low as 1016 cm− 3 Ge unintended doping in In0.01Ga0.99As.  相似文献   

5.
The growth of Ge on (110) and (111) oriented Si substrates is of great interest to enhance the mobility of both holes and electrons in complementary metal oxide semiconductor transistors. However, the quality of thick, relaxed Ge layers grown epitaxially on these surfaces is usually much lower than similar layers grown on (100) Si, resulting in both higher defect densities (i.e. threading dislocations and stacking faults) and rougher surfaces. In this work we have investigated the growth of Ge layers on (110) and (111) Si substrates by reduced-pressure chemical vapour deposition using a two temperature process. We have found that the combination of suppressing the Ge seed layer roughness and high temperature post-growth annealing can reduce the rms surface roughness of (110) Ge layers to below 2 nm and the threading dislocation density to below 1 × 107 cm− 2. Thick (111) Ge layers were found to exhibit a very high density of stacking faults, that could not be reduced by post-growth annealing and a higher rms surface roughness of around 12 nm, which was limited by the Ge seed layer.  相似文献   

6.
Highly c-axis oriented ZnO thin films were grown on Si (100) substrates with Zn buffer layers. Effects of the Zn buffer layer thickness on the structural and optical qualities of ZnO thin films were investigated for the ZnO films with the buffer layers 90, 110, and 130 nm thick using X-ray diffraction (XRD), photoluminescence (PL) and atomic force microscopy (AFM) analysis techniques. It was confirmed that the quality of a ZnO thin film deposited by RF magnetron sputtering was substantially improved by using a Zn buffer layer. The highest ZnO film quality was obtained with a Zn buffer layer 110 nm thick. The surface roughness of the ZnO thin film increases as the Zn buffer layer thickness increases.  相似文献   

7.
Bao-Yuan Liu  John Q. Xiao 《Vacuum》2006,81(3):317-320
Amorphous CoNbZr alloys are thermally stable and thus have been intensively studied as soft layers of a pseudo-spin-valve (PSV). By depositing a wedge-shaped Co inset layer (IL) between the CoNbZr and Cu layer, we were able to simultaneously fabricate CoNbZr(tCNZ)/Co(0-3 nm)/Cu/Co PSVs with various CoNbZr and Co IL thicknesses. We have investigated the dependence of magnetic properties, giant magnetoresistance (GMR) effect, and microstructure on the thickness of the amorphous CoNbZr buffer layer. The GMR enhancement behaviour of the PSVs with different CoNbZr thickness was also studied along the inset Co wedge. By optimizing the thickness of CoNbZr and Co IL, a maximum GMR ratio of 7% was obtained in the stack of CoNbZr(4 nm)/Co(1.2 nm)/Cu(2.2 nm)/Co(4 nm).  相似文献   

8.
Blanket and selective Ge growth on Si is investigated using reduced pressure chemical vapor deposition. To reduce the threading dislocation density (TDD) at low thickness, Ge deposition with cyclic annealing followed by HCl etching is performed. In the case of blanket Ge deposition, a TDD of 1.3 × 106 cm− 2 is obtained, when the Ge layer is etched back from 4.5 μm thickness to 1.8 μm. The TDD is not increased relative to the situation before etching. The root mean square of roughness of the 1.8 μm thick Ge is about 0.46 nm, which is of the same level as before HCl etching. Further etching shows increased surface roughness caused by non-uniform strain distribution near the interface due to misfit dislocations and threading dislocations. The TDD also becomes higher because the etchfront of Ge reaches areas with high dislocation density near the interface. In the case of selective Ge growth, a slightly lower TDD is observed in smaller windows caused by a weak pattern size dependence on Ge thickness. A significant decrease of TDD of selectively grown Ge is also observed by increasing the Ge thickness. An about 10 times lower TDD at the same Ge thickness is demonstrated by applying a combination of deposition and etching processes during selective Ge growth.  相似文献   

9.
We investigate optical, structural and electrical properties of undoped GaN grown on sapphire. The layers were prepared in a horizontal reactor by low pressure metal organic chemical vapor deposition at temperatures of 900 °C and 950 °C on a low temperature grown (520 °C) GaN buffer layer on (0001) sapphire substrate. The growth pressure was kept at 10,132 Pa. The photoluminescence study of such layers revealed a band-to-band emission around 366 nm and a yellow band around 550 nm. The yellow band intensity decreases with increasing deposition temperature. X-ray diffraction, atomic force microscopy and scanning electron microscopy studies show the formation of hexagonal GaN layers with a thickness of around 1 μm. The electrical study was performed using temperature dependent Hall measurements between 35 and 373 K. Two activation energies are obtained from the temperature dependent conductivity, one smaller than 1 meV and the other one around 20 meV. For the samples grown at 900 °C the mobilities are constant around 10 and 20 cm2 V−1 s− 1, while for the sample grown at 950 °C the mobility shows a thermally activated behavior with an activation energy of 2.15 meV.  相似文献   

10.
High quality germanium (Ge) epitaxial film is grown directly on silicon (001) substrate using a “three-step growth” approach in a reduced pressure chemical vapor deposition system. The growth steps consist of sequential low temperature (LT) at 400 °C, intermediate temperature ramp (LT-HT) of ~ 6.5 °C/min and high temperature (HT) at 600 °C. This is followed by post-growth anneal in hydrogen at temperature ranging from 680 to 825 °C. Analytical characterizations have shown that the Ge epitaxial film of thickness ~ 1 μm experiences thermally induced tensile strain of 0.20% with a threading dislocation density of < 107 cm− 2 under optical microscope and root mean square roughness of ~ 0.9 nm. Further analysis has shown that the annealing time at high temperature has an impact on the surface morphology of the Ge epitaxial film. Further reduction in the RMS roughness can be achieved either through chemical mechanical polishing or to insert an annealing step between the LT-HT ramp and HT steps.  相似文献   

11.
To further boost the CMOS device performance, Ge has been successfully integrated on shallow trench isolated Si substrates for pMOSFET fabrication. However, the high threading dislocation densities (TDDs) in epitaxial Ge layers on Si cause mobility degradation and increase in junction leakage. In this work, we studied the fabrication of Ge virtual substrates with low TDDs by Ge selective growth and high temperature anneal followed by chemical mechanical polishing (CMP). With this approach, the TDDs in both submicron and wider trenches were simultaneously reduced below 1 × 107 cm− 2 for 300 nm thick Ge layers. The resulting surface root-mean-square (RMS) roughness is about 0.15 nm. This fabrication scheme provides high quality Ge virtual substrates for pMOSFET devices as well as for III-V selective epitaxial growth in nMOSFET areas. A confined dislocation network was observed at about 50 nm above the Ge/Si interface. This dislocation network was generated as a result of effective threading dislocation glide and annihilation. The separation between the confined threading dislocations was found in the order of 100 nm.  相似文献   

12.
In transparent conducting impurity-doped ZnO thin films prepared on glass substrates by a dc magnetron sputtering (dc-MS) deposition, the obtainable lowest resistivity and the spatial resistivity distribution on the substrate surface were improved by a newly developed MS deposition method. The decrease of obtainable lowest resistivity as well as the improvement of spatial resistivity distribution on the substrate surface in Al- or Ga-doped ZnO (AZO or GZO) thin films were successfully achieved by inserting a very thin buffer layer, prepared using the same MS apparatus with the same target, between the thin film and the glass substrate. The deposition of the buffer layer required a more strongly oxidized target surface than possible to attain during a conventional dc-MS deposition. The optimal thickness of the buffer layer was found to be about 10 nm for both GZO and AZO thin films. The resistivity decrease is mainly attributed to an increase of Hall mobility rather than carrier concentration, resulting from an improvement of crystallinity coming from insertion of the buffer layer. Resistivities of 3 × 10− 4 and 4 × 10− 4Ω cm were obtained in 100 nm-thick-GZO and AZO thin films, respectively, incorporating a 10 nm-thick-buffer layer prepared at a substrate temperature around 200 °C.  相似文献   

13.
Aluminum oxide layers were deposited on flexible polyethersulfone (PES) substrates via plasma enhanced atomic layer deposition (PEALD) process using trimethylaluminum (TMA) and oxygen as precursor and reactant materials. Several process parameters in PEALD process were investigated in terms of refractive index and layer thickness. Number of process cycle increased the thickness and refractive index of the layer to enhance the barrier properties. Non-physisorbed TMA and unreacted oxygen were purged before and after the plasma reaction, respectively. Identical purge time was applied to TMA and oxygen and it was optimized for 10 s. Thinner and denser layer was formed as substrate temperature increased. However, the PES substrate could be deformed above 120 °C. Aluminum oxide layer formed on PES at optimized conditions have 11.8 nm of thickness and reduced water vapor transmission rate and oxygen transmission rate to below 4 × 10− 3 g/m2 day and 4 × 10− 3 cm3/m2 day, respectively. Polycarbonate and polyethylene naphthalate films were also tested at optimized conditions, and they also showed quite appreciable barrier properties to be used as plastic substrates.  相似文献   

14.
100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 μm thick) was grown at 1000 °C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.  相似文献   

15.
Pulsed laser induced epitaxy (PLIE), based on melting/solidification processes induced by nanosecond laser pulses, is used to synthesize pseudomorphic Si1 − xGex epilayers from 20 to 80 nm thick Ge layers evaporated on a Si(100) wafer. Ge concentration and strain are characterized by transient reflectivity, energy dispersive X-ray analysis and X-ray diffraction from symmetric (004) and asymmetric (224) reflections. For a low Ge thickness or a high laser fluence, PLIE builds up only a pseudomorphic strained Si1 − xGex layer with a graded Ge composition reaching x ≈ 19% near the surface. When the Ge amount is in excess to achieve this situation, PLIE forms additionally a relaxed Si1 − xGex layer with x values up to ≈40% over the previous pseudomorphic layer.  相似文献   

16.
Effect of thickness of ZnO active layer on ZnO-TFT's characteristics   总被引:1,自引:0,他引:1  
J.H. Chung  H.S. Kim  N.W. Jang 《Thin solid films》2008,516(16):5597-5601
We have investigated the electrical characteristics of ZnO thin film transistors with respect to the thickness of ZnO active layers. The ZnO layers with the thickness of 30 nm to 150 nm were deposited on bottom gate patterned Si substrate by RF sputtering at room temperature. The low-temperature oxide served as gate dielectric. As ZnO channel layer got thicker, the leakage current at VDS = 30 V and VG = 0 V greatly increased from 10− 10 A to 10− 6 A, while the threshold voltage decreased from 15 V to 10 V. On the other hand, the field effect mobility got around 0.15 cm2/V s except for the 30-nm-thick channel. Overall, the 55-nm-thick ZnO channel layer showed the best performance.  相似文献   

17.
J. Kanak  T. Stobiecki  J. Schmalhorst 《Vacuum》2008,82(10):1057-1061
Two types of magnetic tunnel junctions (MTJs) with the configuration: substrate Si(1 0 0)/SiO2 47 nm/buffer/IrMn 12 nm/CoFe 2.5 nm/Al-O 1.5 nm/NiFe 3 nm/Ta 5 nm and Si(1 0 0)/SiO2 47 nm/buffer/IrMn 10 nm/CoFeB 3 nm/MgO 2 nm/CoFeB 4 nm/Ta 5 nm were prepared by the sputtering technique with two different buffers: A-Cu 25 nm and B-Ta 5 nm/Cu 25 nm. The B buffer caused a high texture of MTJs whereas in the case of the A buffer junctions texture was weak. Crystallites in the textured layers grew in a columnar like shape that induced interfacial roughness. High textured buffer B caused high interfacial roughness that reduced the resistance-area (RA) product due to a barrier thickness fluctuation. RA also changed substantially depending on the type of a barrier. The highest RA product ∼15 MΩ μm2 was achieved for a low textured junction with Al-O barrier whereas in the high textured MgO sample RA product was ∼100 kΩ μm2. Tunnel magnetoresistance (TMR) measured at room temperature was about 45% for the samples with Al-O barrier, whereas for the samples with MgO barrier TMR was about three times higher and achieved 140%.  相似文献   

18.
We investigated the electrical and optical properties of ZnO/Ag/ZnO multi-layer electrodes obtained by ion beam sputtering for flexible optoelectronic devices. This multi-layer structure has the advantage of adjusting the layer thickness to favor antireflection and the surface plasmon resonance of the metallic layer. Inserting a thin (Ag) metallic layer between two (ZnO) oxide layers decreases the sheet resistance while widening the optical transmittance window in the visible. We found that the optimal electrode is made up of a 10 nm thin Ag layer between two 35 nm and 20 nm thick ZnO layers, which resulted in a low sheet resistance (Rsq = 6 Ω/square), a high transmittance (T ≥ 80% in the visible) and the highest figure of merit of 1.65 × 10-2 square/Ω.  相似文献   

19.
Highly conducting tri-layer films consisting of a Cu layer sandwiched between Al-doped ZnO (AZO) layers (AZO/Cu/AZO) were prepared on glass substrates at room temperature by radio frequency (RF) magnetron sputtering of AZO and ion-beam sputtering of Cu. The tri-layer films have superior photoelectric properties compared with the bi-layer films (Cu/AZO, AZO/Cu) and single AZO films. The effect of AZO thickness on the properties of the tri-layer films was discussed. The X-ray diffraction spectra show that all films are polycrystalline consisting of a Cu layer with the cubic structure and two AZO layers with the ZnO hexagonal structure having a preferred orientation of (0 0 2) along the c-axis, and the crystallite size and the surface roughness increase simultaneously with the increase of AZO thickness. When the AZO thickness increases from 20 to 100 nm, the average transmittance increases initially and then decreases. When the fixed Cu thickness is 8 nm and the optimum AZO thickness of 40 nm was found, a resistivity of 7.92 × 10−5 Ω cm and an average transmittance of 84% in the wavelength range of visible spectrum of tri-layer films have been obtained. The merit figure (FTC) for revaluing transparent electrodes can reach to 1.94 × 10−2 Ω−1.  相似文献   

20.
Chemical vapor deposition of thin (< 10 nm) films of amorphous boron carbo-nitride (BC0.7N0.08, or BCN) on Ge(100) and Ge nanowire (GeNW) surfaces was studied to determine the ability of BCN to prevent oxidation of Ge. X-ray photoelectron spectroscopy was used to track Ge oxidation of BCN-covered Ge(100) upon exposure to ambient, 50 °C deionized water, and a 250 °C atomic layer deposition HfO2 process. BCN overlayers incorporate O immediately upon ambient or water exposure, but it is limited to 15% O uptake. If the BCN layer is continuous, the underlying Ge(100) surface is not oxidized despite the incorporation of O into BCN. The minimum continuous BCN film thickness that prevents Ge(100) oxidation is ~ 4 nm. Thinner films (≤ 3.2 nm) permitted Ge(100) oxidation in each of the oxidizing environments studied. GeNWs with a 5.7 nm BCN coating were resistant to oxidation for at least 5 months of ambient exposure. High resolution transmission electron microscopy images of HfO2/BCN/Ge(100) cross-sections and BCN-coated GeNWs reveal clean, abrupt BCN-Ge(100) interfaces.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号