首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 156 毫秒
1.
针对逆变控制领域的需求,采用自然采样法设计一个基于SOPC的SPWM脉冲发生器.在系统中,结合DDS数字频率合成技术产生正弦调制波.使用Verilog语言编程实现可逆计数器,利用可逆计数器形成一个完整的三角波.然后将同一时刻的正弦函数值与三角函数值相比较,形成一路脉冲调制波.最后为防止同相桥臂功率器件的同时导通,经过死区延时部分,形成最终的SPWM脉宽调制波.基于SOPC系统的SPWM脉冲发生器既简化电路设计,又提高系统的可靠性、精确性,并通过实验验证实该系统设计的有效性、稳定性.  相似文献   

2.
基于FPGA的SPWM触发器的设计   总被引:2,自引:0,他引:2  
针对静止补偿器(STATCOM)对触发脉冲信号的要求,给出了一种基于FPGA正弦脉宽调制(SPWM)触发器的设计方案。本方案通过正弦调制波与三角载波的比较来产生六路PWM脉冲信号。由于本方案在使用查表法产生正弦调制波时。仅将1/4周期的正弦波数据存入FPGA硬件所构造的ROM中。因而可以减少系统的硬件开销。  相似文献   

3.
介绍SA8281型正弦脉宽调制(SPWM)波发生器的原理和编程特点,以及基于SA8281和89C52型单片机的变频器的软硬件设计.  相似文献   

4.
本文针对静止补偿器(STATCOM)对触发脉冲信号的要求,设计了种基于FPGA的正弦脉宽调制(SPWM)波形发生器。通过正弦调制波与三角载波的比较,产生了六路PWM脉冲信号。正弦调制波的产生采用查表法,但仅将1/4周期的正弦波数据存入FPGA内部硬件所构造的ROM中,减少了系统的硬件开销,仿真结果证明了本设计的正确性。  相似文献   

5.
王学力  任全会 《电子器件》2013,36(4):478-481
设计了一种基于SOPC技术便携式信号发生器。该系统利用DDS的理论,以NIOSⅡ嵌入式微处理器为核心的SOPC系统作为信号发生器的信号处理和控制的核心。测试结果表明此信号发生器能输出标准的正弦波、三角波、方波和锯齿波,不但波形的频率和幅度可调,而且根据实际需要可现场编程。此系统具有携带方便、输出频率稳定、波形标准、控制灵活和输出频率范围宽的优点。  相似文献   

6.
基于CPLD的数字触发电路的设计   总被引:2,自引:0,他引:2  
高淼  袁薇 《现代电子技术》2004,27(2):12-14,18
利用大规模可编程控制器(Complex Programmable Logic Device)CPLD,针对静止补偿器(STATCOM)对触发脉冲信号的要求.设计一种基于CPLD的正弦脉宽调制(SPWM)数字触发电路。正弦调制波的产生采用查表法,但仅将1/4周期的正弦波数据存入CPLD的内部硬件所构造的ROM中减少了系统的硬件开销.并具有脉冲封锁等功能,仿真结果证明了本设计的正确性。  相似文献   

7.
近年来,逆变技术作为一种高效的节能技术已经渗透到各个领域。目前,逆变电源的控制多采用正弦脉宽调制技术(SPWM),SPWM波大多用高性能的DSP生成。虽然这种技术已经很成熟,但多数DSP的外围电路开发时存在一些难度。此外,DSP的成本仍比单片机要高出不少。本文设计了一种基于ATmega128A的可用于回馈控制系统的三相正弦波发生器,利用软件编程产生 SPWM 波,滤波后得到三相正弦波。其控制电路简单,能够降低外界干扰。通过实验证明,该发生器可以通过软件最终生成三相正弦波,并实现过零点匹配和相位匹配控制,具有良好的实时性和快速性。  相似文献   

8.
数字集成芯片构成的频率计数器设计   总被引:1,自引:1,他引:0  
王月爱 《现代电子技术》2011,34(12):173-175
频率计数器是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、三角波信号、方波信号和尖脉冲信号的频率,而且还能对其他多种非电量信号的频率进行测量。系统采用555定时器组成的多谐振荡器作为时基产生电路,产生频率为1 kHz的控制信号,而被测信号经过一个放大整形电路,将其变化成满足系统要求的计数脉冲信号,然后用频率计数器测量单位时间内变化次数,即被测信号的频率。  相似文献   

9.
可逆逻辑电路能大幅度降低能耗,越来越受到研究人员重视。运用可逆逻辑电路对传统脉冲分配器进行可逆设计,并提供了物理实现方法。首先对传统的脉冲分配器中的触发器和计数器进行可逆设计,然后将传统脉冲分配器的中的计数器进行替换,最后将可逆计数器和译码器级联,从而构建可逆脉冲分配器。仿真结果表明实现了脉冲分配器的功能。  相似文献   

10.
针对传统硬件系统产生SPWM(正弦脉冲调制)波存在电路复杂,离散性大以及不易控制等缺点,介绍了一种用ATmega8单片机相位修正PWM模式产生SPWM波的方法.运用面积等效原理生成SPWM脉冲序列,并将此SPWM波应用于单相逆变电源.实验结果表明波形良好,证明了利用其产生SPWM波的可行性和有效性.  相似文献   

11.
王开林 《电声技术》2012,36(3):17-20
针对声频定向系统中方波信号谐波过于靠近基波的缺点,以FPGA为控制核心,设计了灵活高速的SPWM(正弦脉宽调制)载波产生系统。根据自然采样法原理计算SPWM信号的脉宽数据,由脉宽控制模块完成SPWM信号输出。通过该方法获得的SPWM信号与早期的载波产生系统得到的方波信号相比谐波分量离基波更远,系统产生的SPWM信号脉宽精度高,工作稳定。  相似文献   

12.
为了提高电致生物效应高压脉冲源连续运行时的输出精度及可靠性,设计了一种基于嵌入式实时操作系统Small RTOS51的电致生物效应高压脉冲源控制系统。采用NEC8254-2低成本地实现频率、占空比可调PWM输出,以MAX526,MAX197分别实现D/A,A/D功能,构成高压脉冲源输出控制与反馈回路,并提出了一种改进的采样信号中位值滤波算法。与传统中位值滤波算法相比,该算法具有更高灵敏度,基于RTOS的程序设计,提高了系统的可靠性。实验结果表明:高压脉冲源在连续运行条件下输出精度稳定达到0.1%。  相似文献   

13.
谭松清  张加胜 《变频器世界》2009,(11):91-94,108
以提高正弦波脉宽调制(SPWM)供电质量为主要目的,SPWM脉宽调制法通常可分为两电平、三电平和多电平调制法,其中,三电平SPWM波比两电平SPWM波有更好的消除谐波特性。并且基于三电平的SPWM规则采样法是一种为简化SPWM波开关点计算衍生出来的计算方法,一定程度上可以替代比较调制算法。本文提出了一种三电平SPWM波开关点实时计算的方法,并且给出一般性计算公式。通过MATLAB编程,对计算结果进行了谐波分析,同时为了与实际应用相结合,提出了一种包含死区时间的三电平SPWM规则采样算法。在设计开关点算法时,把死区时间计算在内,分析了谐波含量,为工程应用作了铺垫。  相似文献   

14.
研究了单台脉冲功率源驱动三路并联真空二极管的爆炸发射电流的同步性和波形,设计了三路并联二极管和相 关探测传感器。在此基础上进行了实验研究,结果表明,在单台脉冲功率源驱动下,三路并联二极管启动时间基本同步, 波形基本一致。该研究结果对研制单台脉冲功率源驱动多路高功率微波器件合成输出的新型高功率微波装置提供了基础 依据。  相似文献   

15.
王文涛  鲁金钿  彭瑞 《现代电子技术》2014,(15):110-112,116
在此实现了基于LM3S-811的高频逆变器,整个系统包括辅助电源、推挽升压、全桥逆变、SPWM产生、过流保护以及低通滤波等模块。DC 12 V低压直流经过挽推升压转换为高频方波,再经过变压器升压和整流、滤波转化为DC 300 V。推挽模块用SG3525驱动MOSFET得到高压直流电,再经过LM3S-811产生SPWM波驱动全桥逆模块并结合低通滤波、输出过流保护得到工频AC 220 V,输出功率可达240 W。该系统具有体积小、输出稳定等优点。  相似文献   

16.
谐振式集成光学陀螺三角波调制误差分析   总被引:2,自引:0,他引:2  
粟妮  冯丽爽  雷明  马迎建 《中国激光》2012,39(8):805002-119
在基于模拟三角波相位调制技术的谐振式集成光学陀螺(IORG)调制方案中,调制三角波参数受外界环境等变化而产生波动是陀螺输出误差的主要来源之一。给出了调制三角波参数与陀螺输出的特性关系;分析了调制三角波参数波动与陀螺标度因数的变化关系;仿真计算了调制三角波参数波动与陀螺输出非线性度的关系。搭建了IORG实验系统,通过采用精密高频波形产生器产生调制三角波,得到了1h零偏稳定性为0.69(°)/s,±500(°)/s动态范围内非线性度为0.96%的陀螺输出。实验验证了理论分析计算方法的正确性以及采用模拟三角波调制方法改善集成光学陀螺检测精度的可行性。  相似文献   

17.
SPWM(正弦脉宽调制)波是变频控制中常用的波形.文中提出了一种研究SPWM波的方法,首先离线计算SPWM波脉宽数据,存储到FPGA(现场可编程门阵列)的ROM中,FPGA用查表法产生SPWM波,再采集SPWM波信号并用LABVIEW软件进行频谱分析.用此方法实际产生了各种模式的SPWM波,并对其谐波进行预评估.将FPGA与LABVIEW相结合,可以很方便地获得优化的SPWM波控制模式,具有较高的实用价值.  相似文献   

18.
建立了三相异步电动机在d-q坐标系下的动态数学模型,并介绍了控制异步电动机运行的两种控制技术:正弦波脉宽调制(SPWM)技术和空间电压矢量脉宽调制(SVPWM)技术。在Matlab/Simulink环境下,分别建立SPWM和SVPWM电压源型逆变器供电的三相异步电动机的动态仿真模型,比较分析了在这两种控制方式下的仿真结果。结果表明,较之SPWM控制方式,SVPWM的异步电动机变频调速系统启动快,转矩脉动小,定子电流谐波小,具有较好的动态性能和稳态性能。  相似文献   

19.
设计了一个AD/DC/AC变频电源系统.该系统利用集成逆变器件IM14400,并以PPCA为控制核心,采用SPWM变频控制技术,实现了三相正弦波变频输出.其输出线电压有效值为36V,最大输出电流有效值达3A.此外.系统还具有频率测量、电流和电压有效值测量及平均功率测量等功能.  相似文献   

20.
本文利用GaAs场效应晶体管电压控制电流和开关特性成功研制了任意整形电脉冲发生器,该整形电脉冲发生器的每个基元电路产生的基元电脉冲形状和宽度与加在栅极的触发信号相同,幅度由加在GsAs场效应晶体管上的负偏压决定,各基凶电路产生的用于叠加的基元电脉冲相互独立,使计算机控制栅极偏压进而控制整形电脉冲的形状成为可能。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号