首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
A low-temperature multilevel aluminum-germanium-copper (Al-Ge-Cu) damascene technology was developed using reflow sputtering and chemical mechanical polishing (CMP). The maximum processing temperature for the fabrication of multilevel interconnections could be reduced to 420°C using Al-1%Ge-0.5%Cu, whereas the conventional reflow temperature was not less than 500°C. No degradation due to reflow heat cycles was observed in terms of Al-Ge-Cu wiring resistance. Electromigration test results indicated that the mean time to failure (MTTF) of Al-1%Ge-0.5%Cu was longer than 10 years at the operating condition, which was equivalent to that of Al-1%Si-0.5%Cu. The Al-1%Ge-0.5%Cu triple-level interconnection was fabricated using reflow sputtering to fill vias and wiring trenches and subsequent CMP for Al-Ge-Cu films  相似文献   

2.
The effect of mechanical process parameters such as down force and rotation speed on friction behavior and material removal rate (MRR) was investigated during chemical mechanical polishing (CMP) of sapphire substrate. It was found that the increase in both rotation speed and down force can enhance the MRR and friction force almost linearly depends on the down force and rotation speed. The coefficient of friction (COF) decreases with increasing rotation speed under a fixed down force but keeps constant regardless of variation in down force under a fixed rotation speed. Moreover, the relationship between friction force and MRR was obtained. MRR was proportional to friction force with increasing down force whereas converse proportional to that with increasing the rotation speed. In addition, MRR data are fitted to the Preston equation in the sapphire CMP.  相似文献   

3.
赵振刚  沙艳秋 《信息技术》2010,(3):131-132,136
根据马铃薯淀粉生产的工艺流程,论述了在生产马铃薯淀粉的控制系统中采用PLC进行控制、用微机实现管理的实际应用,使企业降低成本、提高质量.  相似文献   

4.
With the rapid development of chemical mechanical polishing technique as well as its increasing application in IC foundry, the abrasives of slurry are required to have different specifications in terms of size and size’s distribution, which play a vital role in the material removal and defect control. In this study, we monitor in detail the growth process of colloidal silica abrasives changing from the tiny nuclei to large nanoparticles by means of the electron microscopy images. Using the procedure we develop, we are capable of producing monodisperse colloidal silica nanoparticles ranging from 60 to 130 nm in diameter, which are mostly often applied as abrasives in chemical mechanical planarization/polishing (CMP) process of integrated circuit (IC) manufacturing. The physicochemical properties of the silica synthesized by our procedure are also characterized by the X-ray diffraction (XRD) patterns and thermal analysis. The polishing test adopting the colloidal silica as abrasives is performed on silicon wafer to evaluate the CMP properties.  相似文献   

5.
In chemical mechanical polishing (CMP) of Cu, organic acids are often used as additives of slurries. This paper studied the effects of citric acid, oxalic acid, glycolic acid and glycine on Cu CMP performance. Our experiments explored the difference of these organic acids in surface reactions with Cu. The results showed that organic acids could chelate the passive film of Cu, and oxalic acid would further form precipitates with copper ions to change the chemical and mechanical action during CMP. Potential-pH diagrams, electrochemical polarization and impedance analyses were used to examine the behaviors of Cu in various organic acid slurries. The results indicated that the proposed equivalent circuits from impedance analysis for Cu CMP system could provide a good index to surface roughness. Furthermore, we also discussed the effects of used organic acids on reducing particle contamination after Cu CMP by measuring the difference of isoelectric points between Cu and α-Al2O3. The result showed that the addition of organic acid could efficiently decrease particle contamination.  相似文献   

6.
In this research, we conducted a series of experiments to investigate the mechanisms of chemical mechanical polishing (CMP) of silicon. Experimental approaches include tribological tests of frictional and lubricating behavior, chemical analysis, and surface characterization. Specifically, the effects of pH in slurry, surface roughness of wafers, and nano-particle size on removal rate were studied. A transmission electron microscope (TEM), a scanning electron microscope (SEM), and x-ray characterization tools were used to study the change of surface structure and chemistry. Experimental results indicate that the removal rate and planarization are dominated by the surface chemistry.  相似文献   

7.
The effects of temperature, slurry pH, applied pressure, and polishing rotation rate on the material removal rate during chemical mechanical polishing (CMP) of 4H-silicon carbide wafers using colloidal silica slurry and polyurethane/polyester fiber polishing pads have been studied. Measured removal rates varied from around 100 Å/hr to nearly 2500 Å/hr depending on the values of the various parameters. The amount of material removed was determined by measuring the wafer mass before and after polishing. Variations in temperature and slurry pH did not produce significant changes in the measured removal rates. Higher polishing pressures resulted in increased material removal rates from 200 to 500 Å/hr but also produced excessive polishing pad damage. Variations in pad rotational speeds produced the largest changes in material removal rates, from around 200 to around 2000 Å/hr for rotational speeds between 60 and 180 rpm, but the variations were non-linear and somewhat inconsistent. This CMP formula is shown to consistently produce damage free surfaces but the optimum removal rate is slow.  相似文献   

8.
Both chemical and mechanical damages to porous SiOC film should be minimized in the Cu-CMP (chemical mechanical polishing) process for the 32-45 nm node Cu interconnect process. This paper first discusses chemical damage that occurs during direct CMP on a porous SiOC film. We found that the k-value increase after direct CMP was caused by the surfactants added to the cleaning chemicals to suppress watermark generation on the hydrophobic SiOC film surface. The surfactants assisted water molecule diffusion into the pores by improving the wettability of the film surface. N2 annealing after direct CMP removed moisture inside the pores and restored the k-value increase. Second, the paper discusses low-pressure electro-CMP (e-CMP) technology that we developed to reduce mechanical stress on the porous SiOC film. A high removal rate and good planarization performance were obtained by optimizing the cathode area of the electro-cell and carbon material of the e-CMP pad.  相似文献   

9.
In the copper chemical mechanical polishing process, the mixed complexing ligands (glycine and disodium ethylene diamine tetraacetic acid (Na2edta)) were utilized to enhance the polishing rate and to reduce the formation of Cu-BTA reabsorbed molecules, and the mixed dissolution inhibitors (benzotriazole and methylbenzotriazole) were applied to reduce the surface roughness. We propose that the enhanced polishing rate is due to the formation of easier removed water-soluble Cu-edta and Cu-glycine complexes, and the reduced surface roughness is mainly owing to the reduction of the Cu-BTA reabsorbed molecules and the formation of denser surface film based on complementary “space-filling” adsorption mechanism for Cu-BTA/TTA molecules.  相似文献   

10.
蒋勐婷  刘玉岭 《半导体学报》2014,35(12):126001-5
Chemical mechanical planarization(CMP) is a critical process in deep sub-micron integrated circuit manufacturing. This study aims to improve the planarization capability of slurry, while minimizing the mechanical action of the pressure and silica abrasive. Through conducting a series of single-factor experiments, the appropriate pressure and the optimum abrasive concentration for the alkaline slurry were confirmed. However, the reduced mechanical action may bring about a decline of the polishing rate, and further resulting in the decrease of throughput.Therefore, we take an approach to compensating for the loss of mechanical action by optimizing the composition of the slurry to enhance the chemical action in the CMP process. So 0.5 wt% abrasive concentration of alkaline slurry for copper polishing was developed, it can achieve planarization efficiently and obtain a wafer surface with no corrosion defect at a reduced pressure of 1.0 psi. The results presented here will contribute to the development of a “softer gentler polishing” technique in the future.  相似文献   

11.
化学机械抛光是硅片全局平坦化的核心技术,然而在实用阶段上,这项技术还受限于一些制造系统整合上的问题,其中有效的终点检测系统是影响抛光成效的重要关键.若未能有效地监测抛光运作,便无法避免硅片产生抛光过度或不足的缺陷.本文在介绍CMP机制与应用的基础上,系统分析了CMP终点检测技术的研究现状及存在的问题.  相似文献   

12.
In order to achieve a high-quality quartz glass substrate and to improve the performance of Ti O2 antireflection coating,chemical mechanical polishing(CMP) method was used.During CMP process,some process parameters including pressure,polishing head speed,platen speed,slurry flow rate,polishing time,and slurry temperature were optimized to obtain lower quartz surface roughness.According to the experiment results,when pressure was 0.75 psi,polishing head speed was 65 rpm,platen speed was 60 rpm,slurry flow rate 150 m L/min,slurry temperature 20°C,and polishing time was 60 s,the material removal rate(MRR) was 56.8 nm/min and the surface roughness(Ra) was 1.93 °(the scanned area was 1010 m2/.These results were suitable for the industrial production requirements.  相似文献   

13.
Chemical mechanical polishing (CMP) has been widely accepted for the metallization of copper interconnection in ultra-large scale integrated circuits (ULSIs) manufacturing. It is important to understand the effect of the process variables such as turntable speed, head speed, down force and back pressure on copper CMP. They are very important parameters that must be carefully formulated to achieve desired the removal rates and non-uniformity. Using a design of experiment (DOE) approach, this study was performed investigating the interaction effect between the various parameters as well as the main effect of the each parameter during copper CMP. A better understanding of the interaction behavior between the various parameters and the effect on removal rate, non-uniformity and ETC (edge to center) is achieved by using the statistical analysis techniques. In the experimental tests, the optimized parameters combination for copper CMP which were derived from the statistical analysis could be found for higher removal rate and lower non-uniformity through the above DOE results.  相似文献   

14.
Microcomputer systems for application to chemical process monitoring and control are presented. Recently developed modular components which expand the utility of 8-bit microcomputers in data-logging and monitoring systems, process-sequence controllers, and direct-digital process controllers are described.  相似文献   

15.
张泽芳  刘卫丽  宋志棠 《半导体学报》2010,31(11):116003-116003-4
The effect of the ammonium molybdate concentration on the material removal rate(MRR) and surface quality in the preliminary chemical mechanical polishing(CMP) of a rough glass substrate was investigated using a silica-based slurry.Experimental results reveal that the ammonium molybdate concentration has a strong influence on the CMP behaviors of glass substrates.When the ammonium molybdate was added to the baseline slurry,polishing rates increased,and then decreased with a transition at 2 wt.%,and the ro...  相似文献   

16.
超大规模集成电路制造中硅片化学机械抛光技术分析   总被引:23,自引:7,他引:23  
目前半导体制造技术已经跨入0.13μm 和300mm时代,化学机械抛光(CMP)技术在ULSI制造中得到了快速发展,已经成为特征尺寸0.35μm以下IC制造不可缺少的技术。CMP是唯一能够实现硅片局部和全局平坦化的方法,但CMP的材料去除机理至今还没有完全理解、CMP系统过程变量和技术等方面的许多问题还没有完全弄清楚。本文着重介绍了化学机械抛光材料去除机理以及影响硅片表面材料去除率和抛光质量的因素。  相似文献   

17.
The key component of ferroelectric random access memory (FeRAM) is a capacitor including a ferroelectric thin film and electrode materials. Platinum is one of the suitable metals which meet requirements such as low resistivity, high thermal stability, and good oxygen resistance. Generally, the ferroelectric and the electrode materials were patterned by a plasma etching process. The application possibility of chemical mechanical polishing (CMP) processes to the patterning of ferroelectric thin film instead of plasma etching was investigated in our previous study for improvement of an angled sidewall which prevents the densification of FeRAM. In this study, the characteristics of platinum CMP for FeRAM applications were also investigated by an approach as bottom electrode materials of ferroelectric material in CMP patterning. The removal rate was increased from 24.81 nm/min by the only alumina slurry (0.0 wt% of H2O2 oxidizer) to 113.59 nm/min at 10.0 wt% of H2O2 oxidizer. Electrochemical study of platinum and alumina slurry with various concentrations of H2O2 was performed in order to investigate the change of the removal rate. The decreased particle size in the alumina slurry with an addition of 10.0 wt% H2O2 oxidizer made the improved surface roughness of the platinum thin films. Micro-scratches were observed in all polished samples.  相似文献   

18.
王众 《电声技术》2020,(1):85-86
现阶段,由于社会正处于逐渐发展的形式,因此,医疗水平也随之不断地提高。在大数据时代下,各种新型的医疗设备也相继面世,对医疗工作有着极大的帮助。所以,作者在此大数据时代的发展下,对一种现代化的医疗设备,即:温度自动控制系统进行研究,并对其的研制与应用进行分析探讨。根据研究结果表明,这种系统不仅能够控制层流病房的温度,而且,它的稳定性相对而言比较好。此外,这种系统还可以自动对温度以及湿度进行无间断的智能化的远距离监测,并且能狗仔温度达到一定时进行定点报警。另外,还具有实时显示、存储以及打印温湿度数据的多项功能。这种新系统能够在许多不同区域的温湿度的科学调控中运用,并且,它的效果非常可观。  相似文献   

19.
The surface roughness of barium titanate (BTO) following its implication by aerosol deposition method (ADM), is a very important characteristic affecting its potential for use in high-k metal-insulator-metal capacitors. The ADM is the best candidate to deposit ceramic films but has two major problems: macroscopic defects and rough interface effects on the BTO surface. In this work, a chemical mechanical polishing (CMP) technique is applied to obtain an ultra-smooth BTO surface morphology by the optimization of several factors including the slurry type, the head rotational speed, and the down pressure. Statistically, we were able to achieve a root mean square (RMS) value of the BTO surface of 1.746 nm by utilizing a two-step polishing process, applied at a head rotational speed of 70 rpm under 5 kg/cm2 of down pressure; this RMS value is improved at least 8 times over previous studies. This analysis is based on representative pattern images, three-dimensional images, line profiles, histograms, and power spectra of selected BTO surface areas, further verified with data from both energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy.  相似文献   

20.
为了满足先进电子产品对玻璃母盘基片的表面超光滑的要求,氧化硅基抛光液平坦性能的重要性日益凸显,但它的致命缺点是抛光速率较低。本文采用胶体氧化硅为磨料,钼酸铵为抛光速率促进剂,研究了其浓度对玻璃基片材料去除率、抛光后表面粗糙度和抛光过程中摩擦系数的影响。结果表明,当钼酸铵浓度为2%时,可以达到最高的材料去除率和最低的表面粗糙度。另外,在线摩擦系数测试表明材料去除率和摩擦系数成正比。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号