首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The chemical and electrical characteristics of atomic layer deposited (ALD) beryllium oxide (BeO) on GaN were studied via x-ray photoelectron spectroscopy, current–voltage, and capacitance–voltage measurements and compared with those of ALD Al2O3 and HfO2 on GaN. Radiofrequency (RF) and power electronics based on AlGaN/GaN high-electron-mobility transistors are maturing rapidly, but leakage current reduction and interface defect (D it) minimization remain heavily researched. BeO has received recent attention as a high-k gate dielectric due to its large band gap (10.6 eV) and thermal stability on InGaAs and Si, but little is known about its performance on GaN. Unintentionally doped GaN was cleaned in dilute aqueous HCl immediately prior to BeO deposition (using diethylberyllium and H2O precursors). Formation of an interfacial layer was observed in as-deposited samples, similar to the layer formed during ALD HfO2 deposition on GaN. Postdeposition anneal (PDA) at 700°C and 900°C had little effect on the observed BeO binding state, confirming the strength of the bond, but led to increased Ga oxide formation, indicating the presence of unincorporated oxygen in the dielectric. Despite the interfacial layer, gate leakage current of 1.1 × 10?7 A/cm2 was realized, confirming the potential of ALD BeO for use in low-leakage AlGaN/GaN metal–oxide–semiconductor high-electron-mobility transistors.  相似文献   

2.
We have developed a physics based analytical model for the calculation of threshold voltage, two dimensional electron gas (2DEG) density and surface potential for AlGaN/GaN metal oxide semiconductor high electron mobility transistors (MOSHEMT). The developed model includes important parameters like polarization charge density at oxide/AlGaN and AlGaN/GaN interfaces, interfacial defect oxide charges and donor charges at the surface of the AlGaN barrier. The effects of two different gate oxides (Al2O3 and HfO2) are compared for the performance evaluation of the proposed MOSHEMT. The MOSHEMTs with Al2O3 dielectric have an advantage of significant increase in 2DEG up to 1.2×1013 cm-2 with an increase in oxide thickness up to 10 nm as compared to HfO2 dielectric MOSHEMT. The surface potential for HfO2 based device decreases from 2 to -1.6 eV within 10 nm of oxide thickness whereas for the Al2O3 based device a sharp transition of surface potential occurs from 2.8 to -8.3 eV. The variation in oxide thickness and gate metal work function of the proposed MOSHEMT shifts the threshold voltage from negative to positive realizing the enhanced mode operation. Further to validate the model, the device is simulated in Silvaco Technology Computer Aided Design (TCAD) showing good agreement with the proposed model results. The accuracy of the developed calculations of the proposed model can be used to develop a complete physics based 2DEG sheet charge density and threshold voltage model for GaN MOSHEMT devices for performance analysis.  相似文献   

3.
X-ray and UV photoelectron spectroscopies were used to measure the valence band discontinuity at the interface between (0001) 2H-GaN films and 3C-SiC (111) substrates. For GaN films grown by NH3 gas source molecular beam epitaxy on (1×1) 3C-SiC on-axis surfaces, a type I band alignment was observed with a valence band discontinuity of 0.5±0.1 eV. A type I band alignment was also determined for GaN films grown on (3×3) 3C-SiC, but with a larger valence band discontinuity of 0.8±0.1 eV.  相似文献   

4.
We investigate electrical properties of Ni/Al2O3/GaN metal–oxide–semiconductor (MOS) structures having different pre-treatment of GaN surface by O2, Ar and NH3, combined with various temperature of annealing. MOS and reference Ni/GaN Schottky contact are characterized using current–voltage and capacitance–voltage methods. MOS structures compared with the Schottky contact ones show leakage current reduction for all types of processing, from 3 to 5 orders of magnitude in reverse direct. We observed substantial influence of the pre-treatment on electrical parameters of MOS structures.  相似文献   

5.
Recently, Au/Ni/p-type GaN ohmic contacts annealed in an air ambient have been widely investigated. However, to obtain a low specific-contact resistance, the annealing window is limited. In this study, to understand the oxidation function of metallic Ni, the Au/Ni/p-type GaN structure was annealed in an air ambient for 10 min at various temperatures. Using x-ray photoelectron spectroscopy (XPS) analysis, the metallic Ni was oxidized into NiO and NiO1.3 compositions at annealing temperatures of 500°C and 600°C, respectively. However, metallic Ni still existed on the interface of the Ni/p-type GaN annealed at 400°C. The associated barrier heights of 0.42 eV, 0.21 eV, and 0.31 eV were obtained with p-type GaN for the Ni, NiO, and NiO1.3 contacts, respectively. The hole concentrations of p-type NiO and p-type NiO1.3 were 2.6×1016 cm−3 and 2.0×1018 cm−3, respectively. The lower hole concentration of the p-type NiO would lead to reducing the valence-band bending of the p-type GaN, as well as the barrier height for holes crossing from the p-type NiO to the p-type GaN. The formation of NiO was thus an important issue for lowering the specific-contact resistance of the Au/Ni/p-type GaN ohmic contacts annealed in an air ambient.  相似文献   

6.
AlGaN/GaN metal-oxide-semiconductor (MOS) capacitor structures using atomic layer deposited high-dielectric-constant (High-k) Al2O3/La2O3 bilayer films as dielectric have been investigated using high-frequency capacitance-voltage measurement. The stable thickness and uniform surface morphology of the bilayer films with different La/Al deposition cycle ratio (La/Al ratio) were observed after rapid thermal annealing by spectroscopic ellipsometry and atomic force microscopy, respectively. We have found that with a decrease of the La/Al ratio, the dipole layer observed by X-ray photoelectron spectroscopy at Al2O3/La2O3 interfaces is close to the surface of semiconductor and the flat band voltage shifts to the negative direction. Furthermore, the dramatic drop in dielectric constant of the films as La/Al ratio decrease was caused by the formation of La(OH)3 in La2O3. Finally, the reason for the flat band voltage shifts, which is based on the dielectric constant of Al2O3 and La2O3 comprising the position of dipole layer in the dielectric films, is proposed.  相似文献   

7.
AlGaN/GaN metal-oxide-semiconductor heterostructure field-effect transistors (MOSHFETs) with Al2O3 gate oxide which was deposited by atomic layer deposition (ALD) were fabricated and their performance was then compared with that of AlGaN/GaN MOSHFETs with HfO2 gate oxide. The capacitance (C)-voltage (V) curve of the Al2O3/GaN MOS diodes showed a lower hysteresis and lower interface state density than the C-V curve of the HfO2/GaN diodes, indicating better quality of the Al2O3/GaN interface. The saturation of drain current in the ID-VGS relation of the Al2O3 AlGaN/GaN MOSHFETs was not as pronounced as that of the HfO2 AlGaN/GaN MOSHFETs. The gate leakage current of the Al2O3 MOSHFET was five to eight orders of magnitude smaller than that of the HfO2 MOSHFETs.  相似文献   

8.
Proton irradiation of Sc2O3/GaN and Sc2O3/MgO/GaN metal-oxide semiconductor diodes was performed at two energies, 10 MeV and 40 MeV, and total fluences of 5 × 109 cm−2, corresponding to 10 years in low-earth orbit. The proton damage causes a decrease in forward breakdown voltage and a flat-band voltage shift in the capacitance-voltage characteristics, indicating a change in fixed oxide charge and damage to the dielectric. The interface state densities after irradiation increased from 5.9 × 1011 cm−2 to 1.03 × 1012 cm−2 in Sc2O3/GaN diodes and from 2.33 × 1011 to 5.3 × 1011 cm−2 in Sc2O3/MgO/GaN diodes. Postannealing at 400°C in forming gas recovered most of the original characteristics but did increase the interfacial roughness.  相似文献   

9.
In the present work, we have grown 2.83 nm thin Al2O3 films directly on pre-cleaned p-Si (100) substrate using precursor Trimethyl Aluminium (TMA) with substrate temperature of 300°C in a Plasma Enhanced Atomic Layer Deposition (PEALD) chamber. The MOS capacitors were fabricated by depositing Pt/Ti metal bilayer through shadow mask on Al2O3 high-k by electron beam evaporation system. The MOS devices were characterized to evaluate the electrical properties using a capacitance voltage (CV) set-up. The dielectric constant calculated through the CV analysis is 8.32 for Al2O3 resulting in the equivalent oxide thickness (EOT) of 1.32 nm. The flat-band shift of 0.3 V is observed in the CV curve. This slight positive shift in flat-band voltage is due to the presence of some negative trap charges in Pt/Ti/ALD-Al2O3/p-Si MOS capacitor. The low leakage current density of 3.08 × 10?10 A/cm2 is observed in the JV curve at 1 V. The Si/Al2O3 barrier height Φ B and the value of J FN are calculated to be 2.78 eV and 3.4 × 10?5 A/cm2 respectively.  相似文献   

10.
Highly transparent (over 90% transmission in the visible range) and highly conductive (resistivity ≈2 × 10-4 ohm-cm) indium oxide (undoped) films have been produced by thermal evaporation from In2O3 + In source in a vacuum chamber con-taining low pressures of O2, . Film properties are comparable or superior to the best tin-doped indium oxide films that have ever been reported, and excellent reproducibility has been achieved. Hall effect measurements have revealed that the observed low resistivity is primarily a result of the excellent electron mobility (? 70 cm2/V-sec), although the electron concentration is also rather high (≥4 × l020/cm3). X-ray diffraction measurements show distinctly polycrystal-line In2O3 structure with a lattice constant ranging from 10.07Å to 10.11Å. Electrolytic electroreflectance spectra exhibit at least four critical transitions, from which we have determined the direct and indirect optical band gaps (3.56eV and 2.69eV, respectively). Burstein shifts due to the population of electrons in the condition band are also observed. From an internal photoemission study, the work function of the In2O3 film has been determined to be 5.0eV. These and other results, along with a discussion of the processing details are reported.  相似文献   

11.
Effects of surface treatment on the change of band bending at the surface of p-type GaN were studied using synchrotron radiation photoemission spectroscopy, and the results were used to interpret the reduction of contact resistivity by the surface treatment. The contact resistivity on p-type GaN decreased from (5.1±1.2)×10−1 to (9.3±3.5)×10−5Ω cm2 by the surface treatment using aqua regia prior to Pt deposition. Surface band bending was reduced by 0.58 eV and 0.87 eV after the surface treatments by HCl and aqua regia solutions, respectively. The atomic ratio of Ga/N decreased as the photoelectron detection angle was decreased, indicating that the surface oxide was mainly composed of Ga and O, GaOx, formed during high-temperature annealing for the generation of holes, and Ga vacancies, VGa, were produced below the GaOx layer. Consequently, the aqua regia treatment plays a role in removing GaOx formed on p-type GaN, leading to the shift of the Fermi level toward the energy levels of VGa located near the valence band edge. This causes the decrease of barrier height for the transport of holes, resulting in the good ohmic contacts to p-type GaN.  相似文献   

12.
Al2O3, HfO2, and composite HfO2/Al2O3 films were deposited on n-type GaN using atomic layer deposition (ALD). The interfacial layer of GaON and HfON was observed between HfO2 and GaN, whereas the absence of an interfacial layer at Al2O3/GaN was confirmed using X-ray photoelectron spectroscopy and transmission electron microscopy. The dielectric constants of Al2O3, HfO2, and composite HfO2/Al2O3 calculated from the C-V measurement are 9, 16.5, and 13.8, respectively. The Al2O3 employed as a template in the composite structure has suppressed the interfacial layer formation during the subsequent ALD-HfO2 and effectively reduced the gate leakage current. While the dielectric constant of the composite HfO2/Al2O3 film is lower than that of HfO2, the composite structure provides sharp oxide/GaN interface without interfacial layer, leading to better electrical properties.  相似文献   

13.
Calculations of specific contact resistance as a function of doping and barrier height were performed for p-type GaN. These calculations took into account two valence bands, each with different effective masses, and show that at low doping, the heavy hole band accounts for most of the conduction, whereas at heavier doping, the light hole band dominates conduction. These calculations also indicate the barrier height for typical contacts to p-GaN is between 0.75 eV and 1 eV. Specific contact resistance measurements were made for oxidized Ni/Au, Pd, and oxidized Ni/Pd ohmic contact metal schemes to p-GaN. The Ni/Pd contact had the lowest specific contact resistance, 6×10−4 Ω cm2. Auger sputter depth profile analysis showed some Ni diffused away from the GaN surface to the contact surface with the bulk of the Pd located in between two areas of Ni. Both Ni and Pd interdiffused with the GaN at the semiconductor surface. The majority of the oxygen observed was with the Ni as NiO. Angle-resolved-x-ray photoelectron spectroscopy (AR-XPS) analyses showed the formation of predominantly NiO and PdO species, with higher Ni and Pd oxides at the contact surface.  相似文献   

14.
In order to examine the electrical and physical properties of Al2O3 layers with dual thickness on a chip, Pt gate/Al2O3 with dual thickness/p-type Si (100) samples were fabricated using atomic-layer deposition, separation photolithography, and 100:1 HF wet etching to remove the first Al2O3 layer. Dual metal-oxide-semiconductor (MOS) capacitors with thin (physical thickness, ∼4.5 nm, equivalent oxide thicknesses (EOT): 2.8 nm) and thick (physical thickness, ∼8.2 nm, EOT: 4.3 nm) Al2O3 layers showed a good leakage current density of −5.4×10−6 A/cm2 and −2.5×10−9 A/cm2 at −1 V, respectively; good reliability characteristics as a result of the good surface roughness; low capacitance versus voltage measurements (C-V) hysteresis; and a good interface state density (∼7×1010 cm−2eV−1 near the midgap) as a result of pre-rapid thermal annealing (pre-RTA) after depositing the Al2O3 layer compared with the single MOS capacitors without the pre-RTA. These results suggest that dual Al2O3 layers using the dual gate oxide (DGOX) process can be used for the simultaneous integration of the low power transistors with a thin Al2O3 layer and high reliability regions with a thick Al2O3 layer.  相似文献   

15.
The energy band alignment between Ge, HfO2 and Al2O3 was analyzed as influenced by passivating interlayers (ILs) of different composition (GeO2, Ge3N4, Si/SiOx). From internal photoemission and photoconductivity experiments we found no IL-sensitive dipoles at the Ge/HfO2 interfaces, the latter being universally characterized by conduction and valence band offsets of 2.1 and 3.0 eV, respectively. However, in the case of HfO2 growth using H2O-based atomic layer deposition, the Ge oxide IL appears to have a narrower bandgap, 4.3 eV, than the 5.4–5.9 eV gap of bulk germania. Accordingly, formation of this IL yields significantly reduced barriers for hole and, particularly, electron injection from Ge into the insulator. Changing to a H-free process for HfO2 and Al2O3 deposition suppresses the formation of the narrow-gap Ge oxide.  相似文献   

16.
Detailed results of the capacitance voltage, conductance voltage and transient capacitance analysis on GaN/GaAs MIS capacitor are presented. It has been found that the low frequency capacitance rises for deep-depletion biases for both n- and p-type GaAs. Transient capacitance analysis has resulted in bulk life time of a few nanosec which is expected for direct band gap semiconductors like GaAs. The interface state density distribution as obtained from the conductance technique showed a rise in the interface state density around 0.30 eV below Ec and 0.55 eV above Eν of GaAs. The minimum interface state density is around 8 × 1010/cm2 eV.  相似文献   

17.
Indium oxide (In2O3) films were prepared on Al2O3 (0001) substrates at 700 °C by metal-organic chemical vapor deposition (MOCVD). Then the samples were annealed at 800 °C, 900 °C and 1 000 °C, respectively. The X-ray diffraction (XRD) analysis reveals that the samples were polycrystalline films before and after annealing treatment. Triangle or quadrangle grains can be observed, and the corner angle of the grains becomes smooth after annealing. The highest Hall mobility is obtained for the sample annealed at 900 °C with the value about 24.74 cm2·V-1·s-1. The average transmittance for the films in the visible range is over 90%. The optical band gaps of the samples are about 3.73 eV, 3.71 eV, 3.70 eV and 3.69 eV corresponding to the In2O3 films deposited at 700 °C and annealed at 800 °C, 900 °C and 1 000 °C, respectively.  相似文献   

18.
A rectifying junction between MOCVD formed ZnSiAs2 and evaporated CdS has been studied. Current-voltage behavior at current densities above about 1mA/cm2 appears to be dominated by tunnelling. Capacitance measurements indicate an acceptor concentration in ZnSiAs2 of 5 × 1016cm-3, junction diffusion voltage of 1.0V and the presence of deep traps. Device series resistance could be attributed in part to compensating cross-doping. CdS/ZnSiAs2 junctions exhibit photovoltaic response, and photovoltages above 0.5V under 5 sun illumination. ZnSiAs2 electron affinity is estimated to be 3.9eV, and a tentative CdS/ZnSiAs2 band diagram is presented.  相似文献   

19.
MgCaO offers promise as a gate dielectric for GaN-based metal-oxide-semiconductor (MOS) transistors, particularly in combination with environmentally stable capping layers such as Sc2O3. X-ray photoelectron spectroscopy (XPS) was used to measure the energy discontinuity in the valence band (ΔE v ) of Mg0.5Ca0.5O/GaN heterostructures in which the MgCaO was grown by rf plasma-assisted molecular beam epitaxy on top of thick GaN templates on sapphire substrates. A value of ΔE v = 0.65 eV ± 0.10 eV was obtained by using the Ga 3d energy level as a reference. Given the bandgap of 7.45 eV for the MgCaO, we infer a conduction band offset ΔE C of 3.36 eV in the Mg0.5Ca0.5O system.  相似文献   

20.
White electroluminescence (EL) from ZnO/GaN structures fabricated by pulsed laser deposition of ZnO:In onto GaN:Mg/GaN structures MOCVD-grown on Al2O3 substrates has been observed. The white light is produced by superposition of the two strongest emission lines, narrow blue and broad yellow, peaked at 440 and 550 nm, respectively. The intensity ratio of different EL lines from ZnO/GaN/Al2O3 structures depends on the ZnO film quality and drive current. The white EL is due to the high density of structural defects at the n-ZnO/p-GaN interface. A band diagram of the n-ZnO/p-GaN/n-GaN structure is constructed and a qualitative explanation of the EL is suggested.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号