首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

2.
Chemically assisted ion beam etching of gallium nitride (GaN) grown by metalorganic chemical vapor deposition has been characterized using an Ar ion beam and Cl2gas. The etch rate of GaN was found to increase linearly with Ar ion beam current density, increase linearly then saturate with Ar ion beam energy, vary slightly with Cl2 flow rate, and lastly, increase moderately with substrate temperature. Etch rates as high as 330 nm/min were obtained at high beam energies and 210 nm/min at a more nominal level of 500 eV. The anisotropy of etched profiles improved in the presence of Cl2 in comparison to those etched by Ar ion milling only. Elevated substrate temperatures further enhanced the anisotropy to obtain near-vertical profiles for fairly deep-etched structures. Auger electron spectroscopy was used to investigate etch-induced surface changes. Oxygen contamination was observed on the as-etched surface but a dilute HC1 treatment restored the stoichiometry of the material to its unetched state.  相似文献   

3.
Plasma-induced damage of n-type GaN in Cl2/CH4/Ar reactants and its recovery by the O2/CHF3 plasma treatment in reactive ion etching (RIE) system were studied by etching rate, self-bias voltage and Hall measurement. RIE of n-type GaN was performed at a radio frequency power of 250 W in Cl2/CH4/Ar ambient prior to in the O2/CHF3 plasma treatment. The effect of O2/CHF3 plasma treatment on electrical characteristics of n-type GaN was investigated by changing the ratio of O2/CHF3 flow rate. It is found that the damage caused by conventional RIE processing could be partly recovered by CHF3/O2 plasma treatment.  相似文献   

4.
This study examined the plasma etching characteristics of ZnO thin films etched in BCl3/Ar, BCl3/Cl2/Ar and Cl2/Ar plasmas with a positive photoresist mask. The ZnO etch rates were increased in a limited way by increasing the gas flow ratio of the main etch gases in the BCl3/Ar, BCl3/Cl2/Ar and Cl2/Ar plasmas at a fixed dc self-bias voltage (Vdc). However, the ZnO etch rate was increased more effectively by increasing the Vdc. Optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS) analyses of the ZnO surfaces etched at various Cl2/(Cl2 + Ar) mixing ratios revealed the formation of the ZnOxCly reaction by-products as a result of the increased etch rate with increasing Cl2 addition, compared with 100% Ar+ sputter etching. This suggests that at Cl2/Ar flow ratios ⩾20%, the ZnO etch process is controlled by an ion-assisted removal mechanism where the etch rate is governed by the ion-bombardment energy under the saturated chlorination conditions.  相似文献   

5.
SiCl4-based reactive ion etching (RIE) is used to etch MgxZn1−xO (0≤x≤0.3) films grown on r-plane sapphire substrates. The RIE etch rates are investigated as a function of Mg composition, RIE power, and chamber pressure. SiO2 is used as the etching mask to achieve a good etching profile. In comparison with wet chemical etching, the in-plane etching anisotropy of MgxZn1−xO (0≤x≤0.3) films is reduced in RIE. X-ray photoelectron spectroscopy measurements show that there is no Si and Cl contamination detected at the etched surface under the current RIE conditions. The influence of the RIE to the optical properties has been investigated.  相似文献   

6.
One of the major GaN processing challenges is useful pattern transfer. Serious photoresist mask erosion and hardening are often observed in reactive ion etching of GaN. Fine pattern transfer to GaN films using photoresist masks and complete removal of remaining photoresist after etching are very difficult. By replacing the etch mask from conventional photoresist to a sputtered iron nitride (Fe-8% N) film, which is easily patterned by wet chemical etching and is very resistive to Cl based plasmas, GaN films can be finely patterned with vertical etched sidewalls. Successful pattern transfer is realized by reactive ion etching using Cl (H) containing plasmas. CHF3/Ar, C2ClF5/Ar, C2ClF5/Ar/O2, SiCl4, and CHCl3 plasmas were used to etch GaN. The GaN etch rate is dependent on the crystalline quality of GaN. Higher crystalline quality GaN films exhibit slower etch rates than GaN films with higher dislocation and stacking fault density.  相似文献   

7.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

8.
The dry etching characteristics of GaN were investigated using chemically assisted ion beam etching (CAIBE) with HCI and H2/Cl2 gas. Etch rates using CAIBE/HC1 were investigated as a function of Ar ion beam energy and substrate temperature. These results were compared to CAIBE/C12. Etch rates were also investigated for CAIBE/H2/Cl2 for various ratios of H2:C12. Highly anisotropic submicron lines are demonstrated using CAIBE/HC1. Auger electron spectroscopy was used to investigate surface stoichiometric changes of samples etched with CAIBE/HC1, CAIBE/H2/Cl2,, and CAIBE/C12. The diffusion of deuterium into GaN during etching was also investigated using secondary ion mass spectrometry.  相似文献   

9.
Reactive ion etching of {0001} oriented plate-like GaN single crystals has been investigated using SiCl4:Ar:SF6 chemistry. The reactive ion etching process is highly chemical. Large anisotropy of the etching rate and of the morphology has been established on (000 ) N-polar and (0001) Ga-polar sides of the GaN crystals, with remarkably higher rate on the N-polar side. Atomic force microscopy measurements have shown smooth surface and good polishing effect obtained on Ga-polar side, while N-polar surface exhibits an increased roughness of a factor of 10 after RIE.  相似文献   

10.
Dry etching characteristics of single crystal (100) CdTe epitaxial layers grown on GaAs substrates were studied using CH4, H2, and Ar as process gases in an electron cyclotron resonance plasma. A smooth and anisotropic etching was obtained with CH4, H2, and Ar. No hydrocarbon polymer was found on the etched surface, which was confirmed by x-ray photoelectron spectroscopy measurement. Etching of the CdTe surface was also possible with H2 and Ar; however, no etching was observed in the absence of H2. Dependence of the etch rate on plasma gas composition and flow rates was studied. Mechanisms of etching with and without CH4 supply were also studied. Etched CdTe layers also showed no deterioration of electrical properties, which was confirmed by photoluminescence measurement at 4.2 K and Hall measurement at 300 K.  相似文献   

11.
Cl2-based inductively coupled plasmas (ICP) with low additional dc self-biases (?100V) produce convenient etch rates (500–1500Å·min?1) for III-nitride electronic device structures. A systematic study of the effects of additive gas (Ar, N2, H2), discharge composition, process pressure, and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl2 in the discharge for all three mixtures, and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately six for InN over the other nitrides were obtained.  相似文献   

12.
(Sc2O3)x(Ga2O3)1?x films grown by molecular beam epitaxy show promise for use as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors. Completely selective, low-damage, dry etching of (Sc2O3)x(Ga2O3)1?x films with respect to GaN can be achieved with low-power inductively coupled plasmas of CH4/H2/Ar with etch rates in the range 200–300 Å/min. The incident ion energies are of order 100 eV, and no roughening of the underlying GaN was observed under these conditions. Similar etch rates were obtained with Cl2/Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher.  相似文献   

13.
Dry etching of multilayer magnetic thin film materials is necessary for the development of sensitive magnetic field sensors and memory devices. The use of high ion density electron cyclotron resonance (ECR) plasma etching for NiFe, NiFeCo, TaN, and CrSi in SF6/Ar, CH4/H2/Ar, and Cl2/Ar plasmas was investigated as a function of microwave source power, rf chuck power, and process pressure. All of the plasma chemistries are found to provide some enhancement in etch rates relative to pure Ar ion milling, while Cl2/Ar provided the fastest etch rate for all four materials. Typical etch rates of 3000Å/min were found at high microwave source power. Etch rates of these metals were found to increase with rf chuck power and microwave source power, but to decrease with increasing pressure in SF6/Ar, CH4/H2/Ar, and Cl2/Ar. A significant issue with Cl2/Ar is that it produces significant metal-chlorine surface residues that lead to post-etch corrosion problems in NiFe and NiFeCo. However, the concentration of these residues may be significantly reduced by in-situ H2 or O2 plasma cleaning prior to removal of the samples from the etch reactor.  相似文献   

14.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

15.
Inductively Coupled Plasma etching of 4H-SiC under ultraviolet illumination was examined for SF6/Ar and Cl2/Ar chemistries. Etch rate enhancements up to a factor of 8 were observed with UV light irradiation during Cl2/Ar etching. The enhancement mechanism is related to photodesorption of SiClx and CClx species. Surface morphologies were unchanged as a result of the UV enhancement with Cl2/Ar discharges. By contrast, there was no effect of UV irradiation on the SiC etch rates in SF6/Ar plasmas, but the surfaces were typically smoother than those obtained without the ultraviolet illumination. In the SF6/Ar chemistry the rate-limiting steps are either Si-C bond-breaking or supply of fluorine radicals to the surface, and not desorption of the SiFx and CFx etch products.  相似文献   

16.
The electrical characteristics of gallium nitride (GaN) metal-oxide-semiconductor (MOS) capacitors and field-effect transistors (FETs) made on as-grown surfaces, dry-etched surfaces using reactive-ion etching (RIE), and wet-etch treated surfaces after the dry etch were measured. Capacitance and conductance techniques were used to obtain the MOS properties for capacitors. Devices with only an RIE plasma dry-etch process have poor yield and noisy capacitance in the low-frequency accumulation region. Those on dry/wet-etch treated samples have more negative ultraviolet (UV) assistant capacitance-voltage (CV) shift, and higher interface-state densities than those on as-grown samples, but have similar surface potential fluctuation. Threshold voltages of 2 V for an as-grown GaN MOSFET and 1 V for a dry/wet-etched MOSFET were measured. Maximum field-effect mobility for long-channel (L ch = 100 μm) MOSFETs on the as-grown GaN wafer and the dry/wet-etched GaN wafer were obtained as 167 cm2 V−1 s−1 and 119 cm2 V−1 s−1, respectively. The higher interface trap density and lower field-effect mobility indicate that post-plasma-etch wet etching can only partially remove the damages from RIE.  相似文献   

17.
Optimized fabrication of submicron-sized features in gallium nitride (GaN) with the use of inductively coupled plasma (ICP) dry etching, based on SiCl4/Cl2/Ar gas mixture, is presented. Dense periodic patterns, i.e., 400-nm-period gratings, were transferred into a gallium nitride waveguide under different etching conditions. ICP power, radiofrequency (RF) power, chamber pressure, and Ar/Cl2 gas mixing ratio were altered during the experiment. Depths of fabricated grating couplers up to 670 nm were achieved. The most suitable etching conditions are discussed with the assessment based on etching selectivity, scanning electron microscopy (SEM) observation of grating tooth slope, hard-mask erosion process, and etched surface morphology.  相似文献   

18.
Dry etched InAlN and GaN surfaces have been characterized by current-voltage measurement, Auger electron spectroscopy, and atomic force microscopy. Electron cyclotron resonance discharges of BCl3. BCl3/Ar, BCl3/N2, or BCl3/N2 plus wet chemical etch all produce nitrogen surfaces that promote leakage current in rectifying gate contacts, with the BCl3/N2 plus wet chemical etch producing the least disruption on the surface properties. The conductivity of the immediate InAlN or GaN surface can be increased by preferential loss of N during BCl3 plasma etching, leading to poor rectifying contact characteristics when the gate metal is deposited on this etched surface. Careful control of plasma chemistry, ion energy, and stoichiometry of the etched surface are necessary for acceptable pinch-off characteristics. Hydrogen passivation during the etch was also studied.  相似文献   

19.
A plasma enhanced, in-situ, dry etching process for the cleaning of stainless steel III-V Metal Organic Chemical Vapor Deposition growth systems was investigated as a function of etchant gas, flow rate, electrode configuration, power density and plasma frequency. The plasma enhanced etching process was investigated using Ar, CH4 (5% in H2), CCl2F2 (Freon 12)/Ar and Cl2/Ar plasmas with flows varying from 5 to 25 seem. The plasma was excited using three electrode configurations, and two radio frequency generators (90–460 KHz and 13.56 MHz), singly and in combination. The plasma power was varied over the range from 200 to 700 Watts (∼0.2W/cm2 – 0.7W/cm2). The etching rates of GaAs, InP, As, and Mo were measured using a weight difference method. The Cl2/Ar plasmas exhibited etching rates typically 5 to 10 times greater than that of CCl2F2 plasmas, which in turn is several times greater than that of the other etchant gases investigated. At 400 W, elemental As etch rates, as high as ∼180μm/hr and ∼20μm/hr were achieved using Cl2 and CCl2F2 plasmas, respectively. InP/GaAs etch rates using Cl2 were ∼30μm/hr and using CCl2F2 were ∼7μm/hr. Plasma characteristics and etch rate measurements are reported. The in-situ process investigated is a safe, cost effective and an efficient method for increasing reactor uptime.  相似文献   

20.
In this work, we investigated etching characteristics of BST thin films and higher selectivity of BST over Si using inductive coupled O2/Cl2/Ar plasma (ICP) system. The maximum etch rate of BST thin films and selectivity of BST over Si were 61.5 nm/min at a O2 addition of 1 sccm, 9.52 at a O2 addition of 4 sccm into the Cl2(30%)/Ar(70%) plasma, respectively. Plasma diagnostics was performed by Langmuir probe (LP), optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS). These results confirm that the increased etch rates at O2 addition of 1 sccm is the result of the enhanced chemical reaction between BST and Cl radicals and an ion bombardment effect.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号