首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 750 毫秒
1.
Naulleau PP 《Applied optics》2004,43(20):4025-4032
The control of line-edge roughness (LER) of features printed in photoresist poses significant challenges to next-generation lithography techniques such as extreme-ultraviolet (EUV) lithography. Achieving adequately low LER levels requires accurate resist characterization as well as the ability to separate resist effects from other potential contributors to LER. One potentially significant contributor to LER arises from roughness on the mask coupling to speckle in the aerial image and consequently to LER in the printed image. Here I numerically study mask surface roughness and phase roughness to resist LER coupling both as a function of illumination coherence and defocus. Moreover, the potential consequences of this mask effect for recent EUV lithography experiments is studied through direct comparison with experimental through-focus printing data collected at a variety of coherence settings. Finally, the effect that mask roughness will play in upcoming 0.3-numerical-aperture resist testing is considered.  相似文献   

2.
Naulleau PP  Gallatin GM 《Applied optics》2003,42(17):3390-3397
The control of line-edge roughness (LER) of features printed in photoresist poses significant challenges to next-generation lithography techniques such as extreme-ultraviolet (EUV) lithography. Achieving adequately low LER levels will require accurate resist characterization as well as the ability to separate resist effects from other potential contributors to LER. One significant potential contributor is LER on the mask. Here we explicitly study the mask to resist LER coupling using both analytical and computer-simulation methods. We present what is to our knowledge a new imaging transfer function referred to as the LER transfer function (LTF), which fundamentally differs from both the conventional modulation transfer function and the optical transfer function. Moreover, we present experimental results demonstrating the impact of current EUV masks on projection-lithography-based LER experiments.  相似文献   

3.
Naulleau PP  George SA 《Applied optics》2011,50(19):3346-3350
In the case of extreme ultraviolet (EUV) lithography, modeling has shown that reflector phase roughness on the lithographic mask is a significant concern due to the image plane speckle it causes and the resulting line-edge roughness on imaged features. Modeling results have recently been used to determine the requirements for future production worthy masks yielding the extremely stringent specification of 50 pm rms roughness. Owing to the scale of the problem in terms of memory requirements, past modeling results have been based on the thin mask approximation in this application. EUV masks, however, are inherently three-dimensional (3D) in nature and thus the question arises as to the validity of the thin mask approximation. Here, we directly compare the image plane speckle calculation results using the fast two-dimensional thin mask model to rigorous finite-difference time-domain results and find the two methods to agree to within 10% in the computation of the speckle magnitude and 20% in the computation of the line-edge roughness limited depth of focus. For both types of computation, the two-dimensional method provides a conservative estimate. The 3D modeling is also used to show that layer-to-layer correlated roughness is indeed the roughness metric of most concern.  相似文献   

4.
The present study has attempted to investigate and model surface roughness on parts printed using a poly-jet additive manufacturing system. Initially the study investigated the effect of layer thickness, local surface orientation and finish type on surface roughness in poly-jet printed parts. The study shows that the surface orientation and finish type are the major factors affecting surface roughness of poly-jet parts. Then a detailed experimental study was conducted by varying surface orientation in very close intervals to obtain the surface roughness distribution. The study reveals that surface roughness distribution for poly-jet parts is different from that obtained for parts made by other additive manufacturing processes. A detailed experimental and theoretical analysis of droplet geometry, as formed by the jetting process, and its effect on the edge profile of the polymerised layer is presented. A surface roughness prediction model is proposed based on these studies and validated using profilometric measurements.  相似文献   

5.
Mirkarimi PB  Bajt S  Wall MA 《Applied optics》2000,39(10):1617-1625
Multilayer-coated Zerodur optics are expected to play a pivotal role in an extreme-ultraviolet (EUV) lithography tool. Zerodur is a multiphase, multicomponent material that is a much more complicated substrate than commonly used single-crystal Si or fused-silica substrates. We investigate the effect of Zerodur substrates on the performance of high-EUV reflectance Mo/Si and Mo/Be multilayer thin films. For Mo/Si the EUV reflectance had a nearly linear dependence on substrate roughness for roughness values of 0.06-0.36 nm rms, and the FWHM of the reflectance curves (spectral bandwidth) was essentially constant over this range. For Mo/Be the EUV reflectance was observed to decrease more steeply than Mo/Si for roughness values greater than approximately 0.2-0.3 nm. Little difference was observed in the EUV reflectivity of multilayer thin films deposited on different substrates as long as the substrate roughness values were similar.  相似文献   

6.
In this study, we propose a new extreme ultraviolet (EUV) binary mask with an indium tin oxide (ITO) absorber. The optical constant of ITO film at 13.5 nm wavelength in the EUV regime was determined by means of X-ray reflectivity measurements and the chemical composition was determined using Rutherford backscattering spectrometry. The reflectance of a binary mask with an ITO absorber layer at various thicknesses was also measured to investigate the optical performance in the EUV regime. It was found that the extinction coefficient of ITO film is higher than that of a typical absorber layer, TaN, and that the reflectance of the ITO absorber in the binary mask at a wavelength of 13.5 nm is reduced to 0.62% at a thickness of 45 nm. Therefore, it is expected that the ITO film can be employed as a thin absorber of a binary mask to reduce the geometrical shadow effect in extreme ultraviolet lithography.  相似文献   

7.
为了解决微电子制造技术中纳米尺度半导体刻线边缘粗糙度(line edge roughness, LER)的测量问题,笔者提出了基于平稳小波变换的线边缘粗糙度分析方法.首先,使用原予力显微镜测量硅刻线形貌,通过图像处理与阈值方法提取出线边缘粗糙度特征.然后采用基于平稳小波变换的多尺度分析确定线边缘粗糙度特征的能量分布,给出了线边缘粗糙度的多尺度表征参数,包括特征长度和粗糙度指数.仿真出具有不同粗糙程度的线轮廓,计算出其粗糙度指数分别为0.72和6.05,表明该方法可以有效地反映出线边缘的不规则程度,并提供直观的LER空间频率信息.对一组硅刻线的测量数据进行处理,得到其特征长度和粗糙度指数分别为44.56nm和12.17.最后,采用该方法对使用3种不同探针和3组不同扫描间隔的测量数据分别进行分析,结果表明该方法可以有效地量化表征线边缘粗糙度.  相似文献   

8.
基于AFM的刻线边缘粗糙度幅值与空间频率的表征方法   总被引:1,自引:1,他引:0  
针对使用原子力显微镜测量纳米尺度半导体刻线边缘粗糙度的参数表征问题进行了研究.在对线边缘粗糙度的定义与现有测量方法进行分析的基础上,采用图像处理技术分析硅刻线的原子力显微镜测量图像的线边缘粗糙度特征,提出了线边缘粗糙度的幅值与空间频率的表征方法.其中幅值参数能够在一定意义上反映刻线边缘形貌的均匀性,而采用小波多分辨分析与功率谱密度函数(PSD)频谱分析相结合的空间频率表征方法,则有效地分析了侧墙轮廓边缘复杂的空间信息.实际测量结果表明,样本线边缘粗糙度的主要能量集中在低频区域,其主导空间频率为~0.04nm^-1,在低频部分约500nm特征波长上有最大的线边缘粗糙度分布.  相似文献   

9.
The effects of CH2F2 and N2 gas flow rates on the etch selectivity of silicon nitride (Si3N4) layers to extreme ultra-violet (EUV) resist and the variation of the line edge roughness (LER) of the EUV resist and Si3N4 pattern were investigated during etching of a Si3N4/EUV resist structure in dual-frequency superimposed CH2F2/N2/Ar capacitive coupled plasmas (DFS-CCP). The flow rates of CH2F2 and N2 gases played a critical role in determining the process window for ultra-high etch selectivity of Si3N4/EUV resist due to disproportionate changes in the degree of polymerization on the Si3N4 and EUV resist surfaces. Increasing the CH2F2 flow rate resulted in a smaller steady state CHxFy thickness on the Si3N4 and, in turn, enhanced the Si3N4 etch rate due to enhanced SiF4 formation, while a CHxFy layer was deposited on the EUV resist surface protecting the resist under certain N2 flow conditions. The LER values of the etched resist tended to increase at higher CH2F2 flow rates compared to the lower CH2F2 flow rates that resulted from the increased degree of polymerization.  相似文献   

10.
The high-spatial frequency roughness of a mirror operating at extreme ultraviolet (EUV) wavelengths is crucial for the reflective performance and is subject to very stringent specifications. To understand and predict mirror performance, precision metrology is required for measuring the surface roughness. Zerodur mirror substrates made by two different polishing vendors for a suite of EUV telescopes for solar physics were characterized by atomic force microscopy (AFM). The AFM measurements revealed features in the topography of each substrate that are associated with specific polishing techniques. Theoretical predictions of the mirror performance based on the AFM-measured high-spatial-frequency roughness are in good agreement with EUV reflectance measurements of the mirrors after multilayer coating.  相似文献   

11.
Line edge roughness (LER) of patterned features in chemically amplified (CA) resists is formed in the acid generation stage and expected to be moderated by the acid diffusion and development process. It is essential to obtain information on the limit of LER in order to realize next-generation lithographies such as electron beam or extreme ultraviolet. Here, we report for the first time a process simulator based on physical and chemical reaction mechanisms. The LER of a positive-tone CA resist after development is investigated by Monte Carlo simulation and Mack's dissolution model. We found that the LER (high frequency) of less than 1.2?nm is achievable, although the process conditions and material design for achieving such a small LER are strict.  相似文献   

12.
Inclination atomic force microscope (AFM) imaging has been studied on the possibility to observe a pattern sidewall in contact mode or digital probing (step-in) mode for a line edge roughness (LER) or line width roughness (LWR). Analysis of the AFM tip bending and slipping indicates that it is serious problem to measure and control very fine patterns within an error of less than 1 nm in contact of the tip on the steep slop of the pattern, and it is very important directly to observe the sidewall at inclination angle. In experiments using pyramidal tip and steep Si pattern with about 90 degrees slop, it has demonstrated that the inclination angle is 35-40 degrees for faithful observation of the sidewall. We have observed the etched strip lines on the sidewall with a width of about 100 nm and a depth of about 6.4 nm. We have demonstrated that the inclination AFM is very useful for evaluation of the LER or LWR.  相似文献   

13.
Parameter variations pose an increasingly challenging threat to the CMOS technology scaling. Among the sources of variability, line-edge-roughness (LER) and random dopant (RD) fluctuations are significant in current technology nodes. In this paper, the impact of the LER and RD on the matching performance of FinFETs is investigated for the LSTP-32 nm node, where these devices represent an attractive alternative to the planar CMOS transistors. Line-edge-roughness contributions from the fin, top-, and side wall-gates of n- and p-channel FinFETs are compared by means of 2-D and 3-D technology computer-aided design (TCAD) simulations, performed with a quantum-corrected hydrodynamic model on large statistical ensembles. Correlations between geometrical roughness and resulting electrical parameters are analyzed to provide further insight into the impact of the LER. A noise analysis approach is adopted to evaluate the impact of RD fluctuations throughout the impurity concentration ranges of interest, providing a direct comparison with the line-edge-roughness contributions. The impact of the extension doping profile specifications on the LER- and RD-induced mismatch is investigated, highlighting the potential drawbacks of junction engineering.  相似文献   

14.
Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5?nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modeling software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes routinely used in the synchrotron community.  相似文献   

15.
The coherent scattering microscopy/in-situ accelerated contamination system (CSM/ICS) is a developmental metrology tool designed to analyze the impact of carbon contamination on the imaging performance. It was installed at 11B EUVL beam-line of the Pohang Accelerator Laboratory (PAL). Monochromatized 13.5 nm wavelength beam with Mo/Si multilayer mirrors and zirconium filters was used. The CSM/ICS is composed of the CSM for measuring imaging properties and the ICS for implementing acceleration of carbon contamination. The CSM has been proposed as an actinic inspection technique that records the coherent diffraction pattern from the EUV mask and reconstructs its aerial image using a phase retrieval algorithm. To improve the CSM measurement accuracy, optical and electrical noises of main chamber were minimized. The background noise level measured by CCD camera was approximately 8.5 counts (3 sigma) when the EUV beam was off. Actinic CD measurement repeatability was <1 A (3 sigma) at 17.5 nm line and space pattern. The influence of carbon contamination on the imaging properties can be analyzed by transferring EUV mask to CSM imaging center position after executing carbon contamination without a fine alignment system. We also installed photodiode and ellipsometry for in-situ reflectivity and thickness measurement. This paper describes optical design and system performance observed during the first phase of integration, including CSM imaging performance and carbon contamination analysis results.  相似文献   

16.
Two basic types of interferometer, a point diffraction interferometer (PDI) and a lateral shearing interferometer (LSI) suitable for operation in the extreme-ultraviolet (EUV) wavelength region, are described. To address the challenges of wavefront measurement with an accuracy of 0.1 nm rms, we present a calibration method for the PDI that places a mask with two large windows at the image plane of the illumination point light source and a general approach to deriving the phase-shift algorithm series that eliminates the undesired zeroth-order effect in the LSI. These approaches to improving the measurement accuracy were experimentally verified by the wavefront measurements of a Schwarzschild-type EUV projection lens.  相似文献   

17.
Fused deposition modeling (FDM) has emerged as one of the most utilized 3D printing technique. However, the surface properties of the FDM built parts lacks integrity due to layer by layer manufacturing technique. Therefore, post treatment is done on FDM printed parts. In the present research work, an effort has been made to improve the surface properties of the 3D printed parts by surface modification via chemical/nanoparticles. Nanoclay and dimethyl ketone were utilized for the surface modification of acrylonitrile butadiene styrene specimens. Parameters namely nanoclay content, immersion time, heat treatment and layer thickness were investigated to study their effect on surface roughness, surface hardness and dimensions. Also, the effect of nanoclay on UV absorbance of 3D printed parts was observed. Structural and morphological analysis was performed to characterize the surface of the 3D printed specimens after surface modification process. The results show that the surface roughness was reduced by 94.9%, surface hardness was increased by 9.7% while maintaining minimum dimensional deviation of ?0.03 and +0.07?mm. Also, UV absorbance was increased in 350–380?nm range. The results of the present study highlight the capability of the surface modification process for improving the surface properties of FDM parts.  相似文献   

18.
To fulfill the SIA roadmap requirements for EUV resists, the development of entirely new polymer platforms is necessary. In order to address issues like Line Edge Roughness (LER) and photospeed, we have developed a novel chemically amplified photoresist containing a photoacid generator (PAG) in the main chain of the polymer. The incorporation of a cationic PAG unit, phenyl methacrylate dimethylsulfonium nonaflate (PAG), in the resist backbone showed increased sensitivity, when compared with analogous blend PAG resist samples. In addition, the overall lithographic performance improved by using the counter anion (nonaflate) in the PAG units. The newly synthesized polymer bound PAG resist, poly (4-hydroxystyrene-co-2-ethyl-2-adamantyl methacrylate-co-PAG) showed sub-50 nm features using EUV Lithography.  相似文献   

19.
Extreme ultraviolet (EUV) lithography is currently considered as the leading technology for high-volume manufacturing below sub-20 nm feature sizes. In parallel, EUV interference lithography based on interference transmission gratings has emerged as a powerful tool for industrial and academic research. In this paper, we demonstrate nanopatterning with sub-10 nm resolution using this technique. Highly efficient and optimized molybdenum gratings result in resolved line/space patterns down to 8 nm half-pitch and show modulation down to 6 nm half-pitch. These results show the performance of optical nanopatterning in the sub-10 nm range and currently mark the record for photon-based lithography. Moreover, an efficient phase mask completely suppressing the zeroth-order diffraction and providing 50 nm line/space patterns over large areas is evaluated. Such efficient phase masks pave the way towards table-top EUV interference lithography systems.  相似文献   

20.
Substrates intended for use as extreme-ultraviolet (EUV) optics have extremely stringent requirements in terms of finish. These requirements can dramatically increase the cost and fabrication time, especially when nonconventional shapes, such as toroids, are required. Here we present a spin-on-glass resist process capable of generating superpolished parts from inexpensive substrates. The method has been used to render diamond-turned substrates compatible for use as EUV optics. Toroidal diamond-turned optics with starting rms roughness in the 3.3-3.7 nm range have been smoothed to the 0.4-0.6 nm range. EUV reflectometry characterization of these optics has demonstrated reflectivities of approximately 65%.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号