首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
(Pb1 − xLax)Ti1 − x/4O3(x = 28 mol%, denoted as PLT) thin films were grown on Pt/Ti/SiO2/Si substrates by using a sol-gel process. The Pt/PLT/Pt film capacitor showed well-saturated hysteresis loops at an applied electric field of 500 kV/cm with spontaneous polarization (Ps), remanent polarization (Pr) and coercive electric field (Ec) values of 9.23 μC/cm2, 0.53 μC/cm2 and 19.7 kV/cm, respectively. At 100 kHz, the dielectric constant and dissipation factor of the film were 748 and 0.026, respectively. The leakage current density is lower than 1.0 × 10−7 A/cm2over the electric field range of 0 to 200 kV/cm. And the Pt/PLT interface exist a Schottky emission characteristics.  相似文献   

2.
In this work, we present the results of dielectric relaxation and defect generation kinetics towards reliability assessments for Zr-based high-k gate dielectrics on p-Ge (1 0 0). Zirconium tetratert butoxide (ZTB) was used as an organometallic source for the deposition of ultra thin (∼14 nm) ZrO2 films on p-Ge (1 0 0) substrates. It is observed that the presence of an ultra thin lossy GeOx interfacial layer between the deposited high-k film and the substrate, results in frequency dependent capacitance-voltage (C-V) characteristics and a high interface state density (∼1012 cm−2 eV−1). Use of nitrogen engineering to convert the lossy GeOx interfacial layer to its oxynitride is found to improve the electrical properties. Magnetic resonance studies have been performed to study the chemical nature of electrically active defects responsible for trapping and reliability concerns in high-k/Ge systems. The effect of transient response and dielectric relaxation in nitridation processes has been investigated under high voltage pulse stressing. The stress-induced trap charge density and its spatial distribution are reported. Charge trapping/detrapping of stacked layers under dynamic current stresses was studied under different fluences (−10 mA cm−2 to −50 mA cm−2). Charge trapping characteristics of MIS structures (Al/ZrO2/GeOx/Ge and Al/ZrO2/GeOxNy/Ge) have been investigated by applying pulsed unipolar (peak value - 10 V) stress having 50% duty-cycle square voltage wave (1 Hz-10 kHz) to the gate electrode.  相似文献   

3.
The Pb(Zr0.20Ti0.80)O3/(Pb1−xLax)Ti1−x/4O3 (x = 0, 0.10, 0.15, 0.20) (PZT/PLTx) multilayered thin films were in situ deposited on the Pt(1 1 1)/Ti/SiO2/Si(1 0 0) substrates by RF magnetron sputtering technique with a PbOx buffer layer. With this method, all PZT/PLTx multilayered thin films possess highly (1 0 0) orientation. The PbOx buffer layer leads to the (1 0 0) orientation of the multilayered thin films. The effect of the La content in PLTx layers on the dielectric and ferroelectric properties of the PZT multilayered thin films was systematically investigated. The enhanced dielectric and ferroelectric properties are observed in the PZT/PLTx (x = 0.15) multilayered thin films. The dielectric constant reaches maximum value of 365 at 1 KHz for x = 0.15 with a low loss tangent of 0.0301. Along with enhanced dielectric properties, the multilayered thin films also exhibit large remnant polarization value of 2Pr = 76.5 μC/cm2, and low coercive field of 2Ec = 238 KV/cm.  相似文献   

4.
Titanium oxide (TiO2) has been extensively applied in the medical area due to its proved biocompatibility with human cells [1]. This work presents the characterization of titanium oxide thin films as a potential dielectric to be applied in ion sensitive field-effect transistors. The films were obtained by rapid thermal oxidation and annealing (at 300, 600, 960 and 1200 °C) of thin titanium films of different thicknesses (5 nm, 10 nm and 20 nm) deposited by e-beam evaporation on silicon wafers. These films were analyzed as-deposited and after annealing in forming gas for 25 min by Ellipsometry, Fourier Transform Infrared Spectroscopy (FTIR), Raman Spectroscopy (RAMAN), Atomic Force Microscopy (AFM), Rutherford Backscattering Spectroscopy (RBS) and Ti-K edge X-ray Absorption Near Edge Structure (XANES). Thin film thickness, roughness, surface grain sizes, refractive indexes and oxygen concentration depend on the oxidation and annealing temperature. Structural characterization showed mainly presence of the crystalline rutile phase, however, other oxides such Ti2O3, an interfacial SiO2 layer between the dielectric and the substrate and the anatase crystalline phase of TiO2 films were also identified. Electrical characteristics were obtained by means of I-V and C-V measured curves of Al/Si/TiOx/Al capacitors. These curves showed that the films had high dielectric constants between 12 and 33, interface charge density of about 1010/cm2 and leakage current density between 1 and 10−4 A/cm2. Field-effect transistors were fabricated in order to analyze ID x VDS and log ID × Bias curves. Early voltage value of −1629 V, ROUT value of 215 MΩ and slope of 100 mV/dec were determined for the 20 nm TiOx film thermally treated at 960 °C.  相似文献   

5.
HfTaxOy high-k dielectric layers with different compositions were deposited using ALD on 1 nm SiO2 generated by ozone based cleaning of 200 mm Si(1 0 0) surface. Physical characterization of blanket layers and C-V mapping demonstrates that the ALD layers have excellent uniformity and controllable compositions. The layers with a composition of HfTaO5.5 remain amorphous after annealing at 900 °C. The C-V measurements of MOS capacitors show no hysteresis, negligible frequency dispersion and interfacial state density smaller than 3 × 1011 (cm−2 eV−1). k-value of the amorphous layers varies in the range from 20 to 25, depending on layer composition. The flat band voltage does not shift with the increase of EOT, implying that the effect of fixed charge densities in the layers is negligible. The I-V measurements show a leakage reduction comparable to that of the ALD HfO2 layers.  相似文献   

6.
We have grown hematite (α-Fe2O3) thin films on stainless steel substrates and magnetite (Fe3O4) thin films on (0 0 1)-Si single crystal substrates by a RF magnetron sputtering process. α-Fe2O3 thin films were grown in an Ar atmosphere at substrate temperatures around , and Fe3O4 thin films in an Ar/O2 reactive atmosphere at substrate temperatures around . Conversion electron Mössbauer (CEM) spectra of α-Fe2O3 thin films exhibit values for hyperfine parameter characteristic of the hematite stoichiometric phase in the weak ferromagnetic state [R.E. Vandenberghe, in: Mössbauer Spectroscopy and Applications in Geology, University Gent, Belgium, 1990. [1]]. Furthermore, the relative line intensity ratio suggests that the magnetization vector of the polycrystalline film is aligned preferentially parallel to the surface. The CEM spectra of Fe3O4 thin films show the presence of only the stoichiometric phase, and the values for the hyperfine fields and isomer shifts of the A and B sites are consistent with bulk Fe3O4[1]. The X-ray diffraction (XRD) pattern of the polycrystalline thin films also corresponds to α-Fe2O3 and Fe3O4 [JCPDS, X-ray diffraction data cards, 2001. [2]]. The samples were also analyzed by atomic force microscopy (AFM) and they reveal a grain morphology common for polycrystalline films. We found an average grain size of 211 nm and surface roughness of 45 nm in α-Fe2O3 films and an average grain size of 148 nm and surface roughness of 1.2 nm in Fe3O4 films.  相似文献   

7.
In this study, high-pressure oxygen (O2 and O2 + UV light) technologies were employed to effectively improve the properties of low-temperature-deposited metal oxide dielectric films and interfacial layer. In this work, 13 nm HfO2 thin films were deposited by sputtering method at room temperature. Then, the oxygen treatments with a high-pressure of 1500 psi at 150 °C were performed to replace the conventional high temperature annealing. According to the XPS analyses, integration area of the absorption peaks of O-Hf and O-Hf-Si bonding energies apparently raise and the quantity of oxygen in deposited thin films also increases from XPS measurement. In addition, the leakage current density of standard HfO2 film after O2 and O2 + UV light treatments can be improved from 3.12 × 10−6 A/cm2 to 6.27 × 10−7 and 1.3 × 10−8 A/cm2 at |Vg| = 3 V. The proposed low-temperature and high pressure O2 or O2 + UV light treatment for improving high-k dielectric films is applicable for the future flexible electronics.  相似文献   

8.
Si1−xGex nanocrystals (NCs), embedded in Al2O3 matrix, were fabricated on Si (100) substrates by RF-magnetron sputtering technique with following annealing procedure at 800 °C, in nitrogen atmosphere. The presence of Si1−xGex NCs was confirmed by grazing incidence X-ray diffraction (GIXRD), grazing incidence small angle X-ray scattering (GISAXS) and Raman spectroscopy. The influence of the growth conditions on the structural properties and composition of Si1−xGex NCs inside the alumina matrix was analyzed. Optimal conditions to grow Si1−xGex (x∼ 0.8) NCs sized between 3 and 4 nm in Al2O3 matrix were established.  相似文献   

9.
A study of a La-based high-k oxide to be employed as active dielectric in future scaled memory devices is presented. The focus will be held on LaxZr1−xO2−δ (x = 0.25) compound. In order to allow the integration of this material, its chemical interaction with an Al2O3 cap layer has been studied. Moreover, the electrical characteristics of these materials have been evaluated integrating them in capacitor structures. The rare earth-based ternary oxide is demonstrated to be a promising candidate for future non-volatile memory devices based on charge trapping structure.  相似文献   

10.
Ta2O5 films with a buffer layer of silicon nitride of various thicknesses were deposited on Si substrate by reactive sputtering and submitted to annealing at 700 °C in nitrogen atmosphere. The microstructure and the electrical properties of thin films were studied. It was found that with a buffer layer of silicon nitride the electrical properties of SixNy/Ta2O5 film can be improved than Ta2O5 film. When the thickness of the buffer layer was 3 nm, the SixNy/Ta2O5 film has the highest dielectric constant of 27.4 and the lowest leakage current density of 4.61 × 10−5 A/cm2 (at −1 V). For the SixNy (3 nm)/Ta2O5 film, the conduction mechanism of leakage current was also analyzed and showed four types of conduction mechanisms at different applied voltages.  相似文献   

11.
The authors report on fully strained Si0.75Ge0.25 metal-oxide-semiconductor capacitors with HfSiO2 high-k gate dielectric and TaN metal gate fabricated on Si substrates. Fully strained Si0.75Ge0.25 films are directly grown on Si substrates below the critical thickness. HfSiO2 high-k gate dielectrics exhibit an equivalent oxide thickness of 13-18 Å with a permittivity of 17.7 and gate leakage current density lower than SiO2 gate oxides by >100×. Interfacial oxide of the HfSiO2/Si0.75Ge0.25 stack consists primarily of SiO2 with a small amount of Ge and Hf. High performance SiGe field effect transistors are highly manufacturable with excellent electrical characteristics afforded by the fully strained HfSiO2/SiGe gate stack.  相似文献   

12.
Ultra thin HfAlOx high-k gate dielectric has been deposited directly on Si1−xGex by RF sputter deposition. The interfacial chemical structure and energy-band discontinuities were studied by using X-ray photoelectron spectroscopy (XPS), time of flight secondary ion mass spectroscopy (TOF-SIMS) and electrical measurements. It is found that the sputtered deposited HfAlOx gate dielectric on SiGe exhibits excellent electrical properties with low interface state density, hysteresis voltage, and frequency dispersion. The effective valence and conduction band offsets between HfAlOx (Eg = 6.2 eV) and Si1−xGex (Eg = 1.04 eV) were found to be 3.11 eV and 2.05 eV, respectively. In addition, the charge trapping properties of HfAlOx/SiGe gate stacks were characterized by constant voltage stressing (CVS).  相似文献   

13.
In this paper, we report evidence of ferroelectricity in perovskite manganite (BiMnO3) thin films synthesized via r.f. magnetron sputtering method on a single-crystal (1 0 0)-oriented SrTiO3:Nb 0.1% and Pt/TiO2/SiO2/Si substrates. X-ray diffraction measurements were used to analyse the crystal structure of the thin films, revealing epitaxial growth for BiMnO3 films with their (1 1 1) and (2 2 2) planes parallel to the (0 0 1) and (0 0 2) planes of the SrTiO3 substrate. AFM measurements were performed to investigate surface morphology; quantitative values of roughness and grain size are in the range between 300 and 500 nm. Ferroelectric characterization was conducted at low temperatures and at 300 K. Hysteresis loops (polarization vs. voltage) were obtained, showing saturation polarizations of , and at 105, 122, and 300 K. Resistance vs. temperature measurements were performed, which indicated this to be very robust insulating material.  相似文献   

14.
Two kinds of Zr-rich Zr-aluminate films for high-κ gate dielectric applications with the nominal composition of (ZrO2)0.8(Al2O3)0.2 and (ZrO2)0.9(Al2O3)0.1, were deposited on n-type silicon wafer by pulsed laser deposition (PLD) technique at different deposition conditions. X-ray diffraction (XRD) reveals that the (ZrO2)0.8(Al2O3)0.2 film could remain amorphous after being rapid thermal annealed (RTA) at the temperature above 800 °C, while the other one displays some crystalline peaks at 700 °C. The energy gap calculated from optical transmittance spectrum of (ZrO2)0.8(Al2O3)0.2 film on quartz is about 6.0 eV. Sputtering depth profile of X-ray photoelectron spectroscopy and Auger electron spectroscopy indicate that a Zr-Si-O interfacial layer was formed at the near surface of the silicon substrate. The dielectric constant of the (ZrO2)0.8(Al2 O3)0.2 film has been determined to be 22.1 by measuring a Pt/(ZrO2)0.8(Al2 O3)0.2/Pt MIM structure. An EOT of 1.76 nm with a leakage current density of 51.5 mA/cm2 at 1 V gate voltage for the film deposited in N2 were obtained. Two different pre-treatments of Si substrates prior to depositions were also carried out and compared. The results indicate that a surface-nitrided Si substrate can lead to a lower leakage current density. The amorphous Zr-rich Zr-aluminate films fabricated by PLD have promising structure and dielectric properties required for a candidate material for high-κ gate dielectric applications.  相似文献   

15.
The structural and electrical properties of SrTa2O6(SrTaO)/n-In0.53GaAs0.47(InGaAs)/InP structures where the SrTaO was grown by atomic vapor deposition, were investigated. Transmission electron microscopy revealed a uniform, amorphous SrTaO film having an atomically flat interface with the InGaAs substrate with a SrTaO film thickness of 11.2 nm. The amorphous SrTaO films (11.2 nm) exhibit a dielectric constant of ∼20, and a breakdown field of >8 MV/cm. A capacitance equivalent thickness of ∼1 nm is obtained for a SrTaO thickness of 3.4 nm, demonstrating the scaling potential of the SrTaO/InGaAs MOS system. Thinner SrTaO films (3.4 nm) exhibited increased non-uniformity in thickness. From the capacitance-voltage response of the SrTaO (3.4 nm)/n-InGaAs/InP structure, prior to any post deposition annealing, a peak interface state density of ∼2.3 × 1013 cm−2 eV−1 is obtained located at ∼0.28 eV (±0.05 eV) above the valence band energy (Ev) and the integrated interface state density in range Ev + 0.2 to Ev + 0.7 eV is 6.8 × 1012 cm−2. The peak energy position (0.28 ± 0.05 eV) and the energy distribution of the interface states are similar to other high-k layers on InGaAs, such as Al2O3 and LaAlO3, providing further evidence that the interface defects in the high-k/InGaAs system are intrinsic defects related to the InGaAs surface.  相似文献   

16.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

17.
ZrO2 thin films were deposited by the atomic layer deposition process on Si substrates using tetrakis(N,N′-dimethylacetamidinate) zirconium (Zr-AMD) as a Zr precursor and H2O as an oxidizing agent. Tetrakis (ethylmethylamino) zirconium (TEMA-Zr) was also evaluated for a comparative study. Physical properties of ALD-derived ZrO2 thin films were studied using ellipsometry, grazing incidence XRD (GI-XRD), high resolution TEM (HRTEM), and atomic force microscopy (AFM). The ZrO2 deposited using Zr-AMD showed a better thermal stability at high substrate temperature (>300 °C) compared to that using TEMA-Zr. GI-XRD analysis reveals that after 700 °C anneal both ZrO2 films enter tetragonal phase. The electrical properties of N2-annealed ZrO2 film using Zr-AMD exhibit an EOT of 1.2 nm with leakage current density as low as 2 × 10−3 A/cm2 (@Vfb−1 V). The new Zr amidinate is a promising ALD precursor for high-k dielectric applications.  相似文献   

18.
Pentacene organic thin-film transistors (OTFTs) using LaxTa(1−x)Oy as gate dielectric with different La contents (x = 0.227, 0.562, 0.764, 0.883) have been fabricated and compared with those using Ta oxide or La oxide. The OTFT with La0.764Ta0.236Oy can achieve a carrier mobility of 1.21 cm2 V−1s−1s, which is about 40 times and two times higher than those of the devices using Ta oxide and La oxide, respectively. As supported by XPS, AFM and noise measurement, the reasons lie in that La incorporation can suppress the formation of oxygen vacancies in Ta oxide, and Ta content can alleviate the hygroscopicity of La oxide, resulting in more passivated and smoother dielectric surface and thus larger pentacene grains, which lead to higher carrier mobility.  相似文献   

19.
Very efficient in particles detection, light scattering also offers fast non-invasive full-mapping wafer surface state. This sensitivity was used in the case of germano-silicide process development. As a matter of fact, we report on haze measurement performances, compared to the usual methods used to investigate thermal stability of Ni(Si1−xGex), such as sheet resistance (SR), X-ray diffraction (XRD) and scanning electron microscopy (SEM). We observed defectivity related to thermal agglomeration and Ge-segregation of Ni(Si1−xGex) on strain Si1−xGex (x ? 30%) by haze measurement (like SEM observations) earlier than SR measurement. Moreover, we noticed that a high Ge content affects at lower temperature the stability of Ni(Si1−xGex) with a segregation phenomena.  相似文献   

20.
Electrodeposition has emerged as a practical and simple method to synthesise semiconductor materials under different forms, thin films or nanostructured layers. This work reports on the cathodic electrodeposition of ZnMnO thin layers using both zinc and manganese chlorides as precursors. The composition of thin films can be varied from binary zinc oxide to manganese oxide varying the Mn/(Mn+Zn) ratio between 0 and 1. The composition of ZnxMnyOz films was obtained by energy dispersive spectroscopy. Zn1−xMnxO films with Mn/Zn ratio less than 10% exhibit a crystalline wurtzite structure typical of ZnO fully oriented in the (0 0 2) direction. Higher Mn content leads to deformation of the ZnO lattice and the wurtzite structure is no longer maintained. X-ray photoelectron spectroscopy points out that Mn3O4 tends to be deposited when a high Mn/Zn ratio is used in the starting solution. Magnetic measurements on films with Mn/(Zn+Mn) ratio near 1 reveal magnetic characteristics similar to Mn3O4 compounds. The transmission spectra of ZnxMnyOz show the typical absorption edge of crystalline ZnO while the wurtzite structure is maintained and it shifts to higher wavelengths when Mn content increases.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号