首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Interaction of HfxTayN metal gate with SiO2 and HfOxNy gate dielectrics has been extensively studied. Metal-oxide-semiconductor (MOS) device formed with SiO2 gate dielectric and HfxTayN metal gate shows satisfactory thermal stability. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis results show that the diffusion depths of Hf and Ta are less significant in SiO2 gate dielectric than that in HfOxNy. Compared to HfOxNy gate dielectric, SiO2 shows better electrical properties, such as leakage current, hysteresis, interface trap density and stress-induced flat-band voltage shift. With an increase in post metallization annealing (PMA) temperature, the electrical characteristics of the MOS device with SiO2 gate dielectric remain almost unchanged, indicating its superior thermal and electrical stability.  相似文献   

2.
Under typical dynamic NBTI conditions (∼7 MV/cm, 100 °C), a progressive decrease in the recoverable component (R) of the HfO2 p-MOSFET is observed but those of the HfSiON and SiON p-MOSFETs are found to remain constant. The decrease in the R of the HfO2 p-MOSFET is shown to be a result of its conversion into a permanent form. Under a given oxide field and temperature, the R of the HfO2 p-MOSFET is found to exhibit the greatest tendency to be converted into a permanent form. This behavior is shown to be related to the evolution of hole traps in the HfO2 and could be explained in terms of the generally more ionic character of high-κ dielectrics.  相似文献   

3.
We present a detailed experimental investigation of transient currents in HfO2 capacitors in the short timescale. We show that the transient currents flowing through the capacitor plates when the gate voltage is reset to zero after a low voltage stress period follow a power-law time dependence tα (with α ? 1) over more than eight decades of time and down to the μs timescale. As transient currents in HfO2 are largely increased with respect to the SiO2 case, these results confirm that transient effects can be a severe issue for the successful integration of high-k dielectrics.  相似文献   

4.
Electron capture into insulator/silicon interface states is investigated for high-k dielectrics of Gd2O3 prepared by molecular beam epitaxy (MBE) and atomic layer deposition (ALD), and for HfO2 prepared by reactive sputtering, by measuring the frequency dependence of Metal Oxide Semiconductor (MOS) capacitance. The capture cross sections are found to be thermally activated and to increase steeply with the energy depth of the interface electron states. The methodology adopted is considered useful for increasing the understanding of high-k-oxide/silicon interfaces.  相似文献   

5.
In this paper, we evaluate the potentiality of high-k materials (Al2O3, HfO2 and HfAlO) for interpoly application in non-volatile memories. A study of the leakage currents of high-k based capacitors allowed to discuss the retention performances at room and high temperatures of high-k interpoly dielectrics. High-k materials are then integrated as control dielectrics in silicon nanocrystal and SONOS (Si/SiO2/Si3N4/SiO2/Si) memories. The role of the high-k layer on the memory performances is discussed; a particular attention being devoted to the retention characteristics. Analytical models, combined with experimental results obtained on various structures allowed to analyze the mechanisms involved during retention.  相似文献   

6.
Although charge pumping (CP) is a powerful technique to measure the energy and spatial distributions of interface trap and oxide trap in MOS devices, the parasitic gate leakage current in it is the bottleneck. A CP method was modified and applied to high-k gate dielectric in this work to separate the CP current from the parasitic tunneling component in MOS devices. The stress-induced variations of electrical parameters in high-k gated MOS devices were investigated and the physical mechanism was studied by the modified CP technique. The stress-induced trap generation for devices with HfO2-dominated high-k gate dielectrics is like mobile defect; while that with SiO2-dominated ones is similar to the near-interface/border trap.  相似文献   

7.
GeO molecules are often emitted by Ge substrates under high-temperature annealing and, in the case of gate stacks, they diffuse through high-k oxides. Here we use first-principles quantum-mechanical calculations to probe the stability of these impurities in La2O3 and HfO2 and their effect on the electronic properties of the host systems. We find that the GeO species introduce several different levels inside the energy band gaps of La2O3 and HfO2. As a result, the impurities may act as charge carrier traps. Hydrogenation of the GeO defects modifies the position and numbers of gap states, but does not eliminate the carrier trap levels completely. The results suggest a possible role of Ge volatilization in enhancing leakage currents and degradation in high-k gate stacks of Ge-based devices.  相似文献   

8.
Gate leakage of deep-submicron MOSFET with stack high-k dielectrics as gate insulator is studied by building a model of tunneling current. Validity of the model is checked when it is used for MOSFET with SiO2 and high-k dielectric material as gate dielectrics, respectively, and simulated results exhibit good agreement with experimental data. The model is successfully used for a tri-layer gate-dielectric structure of HfON/HfO2/HfSiON with a U-shape nitrogen profile and a like-Si/SiO2 interface, which is proposed to solve the problems of boron diffusion into channel region and high interface-state density between Si and high-k dielectric. By using the model, the optimum structural parameters of the tri-layer dielectric can be determined. For example, for an equivalent oxide thickness of 2.0 nm, the tri-layer gate-dielectric MOS capacitor with 0.3-nm HfON, 0.5-nm HfO2 and 1.2-nm HfSiON exhibits the lowest gate leakage.  相似文献   

9.
High permittivity (high-k) gate dielectrics were fabricated using the plasma oxidation of Hf metal/SiO2/Si followed by the post-deposition annealing (PDA), which induced a solid-phase reaction between HfOx and SiO2. The oxidation time and PDA temperature affected the equivalent oxide thickness (EOT) and the leakage current density of the high-k dielectric films. The interfacial structure of the high-k dielectric film/Si was transformed from HfOx/SiO2/Si to HfSixOy/Si after the PDA, which led to a reduction in EOT to 1.15 nm due to a decrease in the thickness of SiO2. These high-k dielectric film structures were investigated by X-ray photoelectron spectroscopy. The leakage current density of high-k dielectric film was approximately four orders of magnitude lower than that of SiO2.  相似文献   

10.
BOOK REVIEWS     
Book reviewed in this articles:
U nobtrusive M easures : N onreactive R esearch in the S ocial S ciences . By Eugene J. Webb, Donald T, Campbell, Richard D. Schwartz, and Lee Sechrest
M athematics and P sychology . By George A. Miller
I nterpersonal D ynamics : E ssays and R eadings on H uman I nteraction . By Warren G. Bennis, Edgar H. Schein, and David E. Berlew
R oles : A n I ntroduction to the S tudy of S ocial R elations . By Michael Banton.
T he C reative O rganization . Edited by Gary Sterner
A rgumentation and D ebate : R ational D ecision M aking . By Austin J. Freeley
A dvertising the L ocal C hurch . By James W. Carty, Jr.
P hilosophy , R hetoric, and argumentation . Edited by Maurice Natanson and Henry W. Johnstone, Jr.
R hetoric —A P hilosophical I nquiry . By A. Craig Baird.
B riefly N oted
T he T ruman P residency : T he H istory of a T riumphant S uccession . By Cabell Phillips.
L anguage and P olitics . Edited by Thomas O. Brockway
F reedom of the P ress in E ngland : 1476–1776. By Frederick Seaton Siebert
S peech P reparation S ourcebook . By Robert T. Oliver, Carroll C. Arnold, and Eugene E. White
B usiness C ommunications for B etter H uman R elations . By Charles Chandler Parkhurst
T he P ractice of C riticism . By Sheldon P. Zitner, James D. Kissane, and M. M. Liberman
T each W ith T elevision : A G uide to I nstructional TV. By Lawrence F. Costello and George N, Gordon.  相似文献   

11.
Annealing effects on electrical characteristics and reliability of MOS device with HfO2 or Ti/HfO2 high-k dielectric are studied in this work. For the sample with Ti/HfO2 higher-k dielectric after a post-metallization annealing (PMA) at 600 °C, its equivalent oxide thickness value is 7.6 Å and the leakage density is about 4.5 × 10−2 A/cm2. As the PMA is above 700 °C, the electrical characteristics of MOS device would be severely degraded.  相似文献   

12.
This paper presents the first successful attempt to integrate crystalline high-k gate dielectrics into a virtually damage-free damascene metal gate process. Process details as well as initial electrical characterization results on fully functional gate Gd2O3 dielectric MOSFETs with equivalent oxide thickness (EOT) down to 1.9 nm are discussed and compared with devices with rare-earth gate dielectrics fabricated previously in a conventional CMOS process.  相似文献   

13.
The models of electrophysical effects builtinto Sentaurus TCAD have been tested. The models providing an adequate modeling of deep submicron high-k MOSFETs have been selected. The gate and drain leakage currents for 45 nm MOSFETs with polysilicon gate and SiO2, SiO2/HfO2 and HfO2 gate dielectrics have been calculated using TCAD. It has been shown that the replacement of the traditional SiO2 gate oxide by an equivalent HfO2 dielectric reduces the gate leakage current by several orders of magnitude due to the elimination of the impact of the tunneling effect. Besides, the threshold voltage, saturation drain current, mobility, transconductance, etc., degrade within a range of 10–20%.  相似文献   

14.
Two high-k gate stacks with the structure Si/SiO2/HfO2/TiN/poly-Si are characterised using nanoanalytical electron microscopy. The effect of two key changes to the processing steps during the fabrication of the stacks is investigated. Electron energy-loss spectroscopy is used to show that the TiN layer has a very similar composition whether it is deposited by PVD or ALD. Spectrum imaging in the electron microscope was used to profile the distribution of elements across the layers in the stack. It was found that when the anneal after HfO2 deposition is carried out in a NH3 atmosphere instead of an O2 atmosphere, there is diffusion of N into the SiO2 and HfO2 layers. There is also significant intermixing of the layers at the interfaces for both wafers.  相似文献   

15.
In this paper, a process flow well suited for screening of novel high-k dielectrics is presented. In vacuo silicon capping of the dielectrics excludes process and handling induced influences especially if hygroscopic materials are investigated. A gentle, low thermal budget process is demonstrated to form metal gate electrodes by turning the silicon capping into a fully silicided nickel silicide. This process enables the investigation of rare earth oxide based high-k dielectrics and specifically their intrinsic material properties using metal oxide semiconductor (MOS) capacitors. We demonstrate the formation of nickel monosilicide electrodes which show smooth interfaces to the lanthanum- and gadolinium-based high-k oxide films. The dielectrics have equivalent oxide thicknesses of EOT = 0.95 nm (lanthanum silicate) and EOT = 0.6 nm (epitaxial gadolinium oxide).  相似文献   

16.
马雪丽  韩锴  王文武 《半导体学报》2013,34(7):076001-3
High permittivity materials have been required to replace traditional SiO2 as the gate dielectric to extend Moore’s law.However,growth of a thin SiO2-like interfacial layer(IL) is almost unavoidable during the deposition or subsequent high temperature annealing.This limits the scaling benefits of incorporating high-k dielectrics into transistors.In this work,a promising approach,in which an O-scavenging metal layer and a barrier layer preventing scavenged metal diffusing into the high-k gate dielectric are used to engineer the thickness of the IL,is reported. Using a Ti scavenging layer and TiN barrier layer on a HfO2 dielectric,the effective removal of the IL and almost no Ti diffusing into the HfO2 have been confirmed by high resolution transmission electron microscopy and X-ray photoelectron spectroscopy.  相似文献   

17.
A quantum mechanical model of electron mobility for scaled NMOS transistors with ultra-thin SiO2/HfO2 dielectrics (effective oxide thickness is less than 1 nm) and metal gate electrode is presented in this paper. The inversion layer carrier density is calculated quantum mechanically due to the consideration of high transverse electric field created in the transistor channel. The mobility model includes: (1) Coulomb scattering effect arising from the scattering centers at the semiconductor–dielectric interface, fixed charges in the high-K film and bulk impurities, and (2) surface roughness effect associated with the semiconductor–dielectric interface. The model predicts the electron mobility in MOS transistors will increase with continuous dielectric layer scaling and a fixed volume trap density assumption in high-K film. The Coulomb scattering mobility dependence on the interface trap density, fixed charges in the high-K film, interfacial oxide layer thickness and high-K film thickness is demonstrated in the paper.  相似文献   

18.
Electrical properties of mixed HfO2-Ta2O5 films (10;15 nm) deposited by rf sputtering on Si have been studied from the view point of their applications as high-k layers, by standard capacitance-voltage and temperature dependent current-voltage characteristics. The effect of HfO2 addition to the Ta2O5 is thickness dependent and the thicker layers exhibit advantages over the pure Ta2O5 (higher dielectric constant, enhanced charge storage density and improved interface quality). The process of HfO2 and Ta2O5 mixing introduces negative oxide charge, tends to creates shallow bulk traps and modifies the dominant conduction mechanisms in the stack capacitors as compared to the Ta2O5-based one (a contribution of tunneling processes through traps located below the conduction band of mixed layers to the leakage current in the HfO2-Ta2O5 stacks is observed). The traps involved in both Poole-Frenkel and tunneling processes are identified.  相似文献   

19.
We discuss options for metal–oxide-semiconductor field-effect transistor (MOSFET) gate stack scaling with thin titanium nitride metal gate electrodes and high-permittivity (‘high-k’) gate dielectrics, aimed at gate-first integration schemes. Both options are based on further increasing permittivity of the dielectric stack. First, we show that hafnium-based stacks such as TiN/HfO2 can be scaled to capacitance equivalent thickness in inversion (Tinv) of 10 Å and equivalent oxide thickness (EOT) of 6 Å by using silicon nitride instead of silicon oxide as a high-k/channel interfacial layer. This is based on the higher dielectric constant of Si3N4 and on its resistance to oxidation. Although the nitrogen introduces positive fixed charges, carrier mobility is not degraded. Secondly, we investigate whether Ti-based ‘higher-k’ dielectrics have the potential to ultimately replace Hf. We discuss oxygen loss from TiO2 as a main challenge, and identify two migration pathways for such oxygen atoms: In addition to well-known down-diffusion and channel Si oxidation, we have newly observed oxygen up-diffusion through the TiN metal gate, forming SiO2 at the poly-Si contact. We further address the performance of Si3N4 and HfO2 as oxygen barrier layers.  相似文献   

20.
Multiple successive breakdown events are reported for HfO2/Al2O3 nanolaminate dielectrics grown by atomic-layer deposition. The first breakdown distribution is not a Weibull distribution and shows a long TBD tail at high failure percentiles. Analysis of the correlation between time-to-breakdown and initial current leakage allows identifying this tail with extrinsic breakdown. Screening of the data to eliminate the extrinsic tail demonstrates that the successive breakdown events are completely uncorrelated and perfectly match the successive breakdown theory. The statistical correlation between initial current and extrinsic breakdown distribution is explained in terms of variations of the unintentional interfacial SiOx layer at the silicon substrate/dielectric interface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号